From fce08f5e1d4eb056abd0412a1dadbbbd9ebdd979 Mon Sep 17 00:00:00 2001 From: id101010 Date: Sun, 19 Jun 2016 22:47:11 +0200 Subject: [PATCH] Improved lcd tb & screenshots --- lcd_driver_tb.vhd | 4 +++- screenshots/LCDTB1.png | Bin 15388 -> 0 bytes screenshots/LCDTB2.png | Bin 14199 -> 0 bytes screenshots/LCDTB3.png | Bin 45059 -> 0 bytes screenshots/LCDTB4.png | Bin 44210 -> 0 bytes screenshots/LCDTB5.png | Bin 40639 -> 0 bytes screenshots/LCD_TB_INIT1.png | Bin 0 -> 44632 bytes screenshots/LCD_TB_INIT2.png | Bin 0 -> 43144 bytes screenshots/LCD_TB_SEND.png | Bin 0 -> 40543 bytes simulate_display_init.wcfg | 16 ++++++++-------- yasg.xise | 16 ++++++++-------- 11 files changed, 19 insertions(+), 17 deletions(-) delete mode 100644 screenshots/LCDTB1.png delete mode 100644 screenshots/LCDTB2.png delete mode 100644 screenshots/LCDTB3.png delete mode 100644 screenshots/LCDTB4.png delete mode 100644 screenshots/LCDTB5.png create mode 100644 screenshots/LCD_TB_INIT1.png create mode 100644 screenshots/LCD_TB_INIT2.png create mode 100644 screenshots/LCD_TB_SEND.png diff --git a/lcd_driver_tb.vhd b/lcd_driver_tb.vhd index bfd37aa..3c4de08 100644 --- a/lcd_driver_tb.vhd +++ b/lcd_driver_tb.vhd @@ -37,7 +37,6 @@ ARCHITECTURE behavior OF lcd_driver_tb IS signal data : std_logic_vector(7 downto 0) := (others => '0'); signal new_character : std_logic := '0'; signal new_pos : std_logic := '0'; - signal auto_incr_cursor : std_logic := '0'; --Outputs signal lcd_db : std_logic_vector(7 downto 0); @@ -90,6 +89,7 @@ BEGIN new_pos <= '0'; wait until busy = '0'; + wait for 10ms; -- test sending character data <= "10101010"; @@ -97,7 +97,9 @@ BEGIN new_pos <= '1'; wait until busy = '0'; + wait for 10ms; + -- Reset data <= "00000000"; new_character <= '0'; new_pos <= '0'; diff --git a/screenshots/LCDTB1.png b/screenshots/LCDTB1.png deleted file mode 100644 index 1e55e6b2c6dae812c51be2dcbdfa9993899f123b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15388 zcmeHu2UOGB_GZ+lzH5PtihxqCAS(SLO$fz8k*-uDO{vnQ*ATrHP!I$Jq+>yZgrGz^ zAr?A>9y*Ei8X_eG5<=$0a^Jmo-kUYE=FQB1&3akOB*gIhopbiy-~RTuHxDiu>FnQg zWDf)a*{^$1(*y$f+XDz>8~yLw!6*A{qZ`1>Pd6{Z{2&mHTK2zfNfI1K!H2v3bq%$4 zf8Mo^TS81$jP(+HB;aax#s7l0r>B#bKll*>x!~(`-QUUammpXF8^7r28eVdgcG?Yr z`~uO{JZBa>NFIR)n@whFY_^S+*^#U>vgMoektC_F`x6=Tdj{TIHT@AwhuNr6n%Sx zDf_d-iBy9V7ZOrKu+l}=WhWE;rXsQ#8N)(T8Ht+WNqc58G=;gY|AI}4{Imyhi$m_g z%U@ocN_x18NEeKX7mCRvMwy8d3*+WBxDTGFt;LL&?eAPov%n>_Buuf$1AH{Nh_;ZR zF_tU8e!U$Rb48wx@ zld$mzd&gIyW0}~uQ@drC9tLCbLz^}&r1jJKG${2MGW8m&Kf4%3fhF`neOB_9x(A(Q z8odt=C>1E~fSmQzzTD%C^m?>6q8eu#DuGVp4XQbiZ?<47gxGj?Wod0|PISd;zp`Wq z^u?Y*L6_J#_I29IH$Pp*77mmx4{0Vp@`^L&7hqxFc8qSFhJ#k)vsPp4T(ZG8!za4> zSJ8v}Ah$MMdbmw;E*)_nw~DLN$ilFCF+t<^Y;1wHknJ>?-X)jm# z%ozH3u$cM>mTI~*2n#;PQb`Z_lN~qCxLw;E5~5)jCbR2cJoU4Cmj^W?6;*zzQBgpz zhkI0?aL$;%vK^9R8t$ciyPA)LI3u_<#OoKXx?z&RNLgA%KRt6waUmuunD!_*?Cicp z466=)x`v<;DGK)uKKkIy+yXDt*@KwgcVckpMx}{9_Gs0b=ITRZmj31_OIwvU&nS8@ zXg>&m9%uimV{hLaQ}m7*G?xwW*ratml^2$NdPpPvD8i_Y&Wcr1=hu;kzwFf*x4PhW zrAuS1aJ@=yjMLl611sB0s3S&21$$oaLHt_35AS~P!caszcI=C$s`bGz)3;O4u3+U% zD7Aj`_Maoi=!n?s5@Q6LUE3g#%+D1&4>=wxSq_gilUJ-IAyiHnME#To5esCjh^zJgrA65(nCwMy1rnr!bWwLM!9?% zzpSrwFWoyRQlVb68P9wA`2E<2vzReFHf!g0$k{EqIS>ze#}5?ebaO`-y|~dp8T%-@ z)KSt|_HyN>!8S-oCtr#Ck=gT<`Xc8#OKEZP$9)jU@~EHO9@EL`^$J+(#OPCCkQZ`p z+38>d{u+qH2froJg@Lt8_(qyU!y%9kU9{^k+;OYO)I~x>jcU$!+ zu}-27b+saNWbWi*D`bd>EYIsyA!~dou#Y4KOaSrPc@Og=cSo5XCawz;QN?2I@enw~=PHioc zfV3#IVGI{}(QDt>7P}!ag&D(+NmvZI`icb?7gn1|PAD8wBJ{E!=uFzZPyBZVRIFqt zdXQV=54e%;7Lprv)7s4i_%@EL)%VSV@HtyV-sn=?{&;h%OtqOWD=zA#)vuhj@XeEP zcvaSyJ26N_=#a=XIp0i=nIx5=$r5SbTCF{X6@E^UwSzC_+C}*HcapA{_)VXTw9q8I zO~G5KGsYv2r*TBac$@PtFEK1+YJ9Cpnz$u9M8T9!9*3Tzq}aWj=dS#s_cONcZZBAsp$bCySigZG(nsX;LnCv*vkNj7?XZ4fEQ(OfsRSZ-2(kt$TTKs;7iy z*I$(NqUb4{oKMWHU``psyIRDpT!up4qPpmUjLck?-_mPh=Q9jEH5O?r(vG-6K1fm} zKejDyp5z_Q?lfktlMwJ5-msF+fjh=k2(oF45d4xRzyE4V_SGpA*aV_STr zBZ0LWLJ{LGabD|wbD1d!CZ_C!&CQ997*QsTwf;Enq+RGj(fyZ02x`B6Q=BEo#tex? zYo>cb1AC+xO(7y-w9RU|;d--1_!o`Efu1W|T%9;_+gkfEKA3{*a4?Hx{Ut6=NmF=w zwOJ0?W91&Pfguqnu@}kKECv~?y(V-VSz6qzTG3QMc6c+w)8a! z<3w<_5XuO}-zCB4(V|1NM)638nfEn8mKJlT$^V*MKGX#lqmvS_U77U zAzrl{G5QD}X-;GP=@x?c1|us>ggcC&Zy)63d;IpL@ld*;|HnruLTd;kfr!T4M&+Lw zIL{jkvzMuY%zG^F=8r7q%oJQyGrJTzbTZP>k&vuj>{fC7&Tl=&t$GdV_vbcMG%#XlW9CztcbbFc6_L75o&P8BQB#1 ziTC|7g-J0=3vQi>7uv9$8)TrNiX~H}?$d@_1Ks)&DH-t2bCjBr$#w?ogG8WHI8wHx zY@^`7gQytM2c=5P-a!QXI29+f-b6wyHj-r)XJ#WW)V-0z(UR~=EJmdbL*}MI$;f~i zM^j^glo-s3;*ouMn$-elQGRQ|QCE+KIS~R~tTKk_%>|Fzrk3qt zcMlqE&1Z*yuFr;#KfU8f=q;av`d`BZe_1wW5%TkF)~niEtG&)^z?{e)b#LNE;JHK& zauq<1qZ%utiAGRnOaWq~yIi?qHuDvaQ}{fdED z;;M2vqDXVW^~z1^L?WxN$YBuMt{yx)qpk*he&=Mw3Pxya0V`o^Lw!H-HhY7T%V{u2 z3Undif$Nt{+Q6J<7tXzqDIf2XQ;hH&lxnZ3u(Tk@Azvk0-D6uvS|-`NzWgdHo%LF+ zzuc_~^T?avHSi^Ua%fF+A=LA-4T;nIgkzGYHI>gap!@DzdSVfU8ush_#$2Vb((r2g zirvWSs(_^pYiio-%rju{Ikv&W4qt?ht_rG-emTd@#RZQ;(I@vBBdD*L=T7e852_nZ z!qGPyTThnCGkSAO5%27m%o|n>SD|a}#)TV2ar<>0r3Y9Jced=;qTRjH!!K&tzxQFy zzwJ9((9Ww&7ir*_Kj_nOIYoo9T*S)$pr-gbm~`gI@)8NHt8p}s+&1;Z;3sFoa!!jF z(%M!M`L0ICdOa~WE_|iu1GfO5t5d4E#7QNX@~*wVqGOq#Z#|5Px>FxM%Hz(OZgGe~ zma3$mnTTPbLc;51na`gu#|RZ#FH>J|CYUEX-0a9_RgTRT3Be!xg{`4>g|FMWC&TCO zZhLTXA3d7FT-u-*FUTMR+sJAz#4Nqs9%u4S`OoVVwc>E;(3mGGRV?)fdK&G zb;XsgM5&XpoBhAtAA!!%G~6~yrYX#pJ5Gd9i%+MGz3P)3-mHwfjTOcfg6m%VCIf|IwSP4pV)iS#J z_m_eao-iX32;?lyludtDs|O_+(_Xt5s|G?WiAh0|Hh+FeTB};F;QRgSuknBgMO}Pp zk`Ky}(YQ<9`L_>oaoztJ0-4a)P1ZV{dg#2@i&HTo<6yPyh2H~fN4E7ipSDy*q2k0P4Nmb zbg*Ydzbk?EC`P<1`SF0trFg;8^Q%i%+>dGu{{F~J)i0|i8B7j77w~N?6evE8{(ei} z$Kb+*2NmxacUak~-ksY-KNI-lj~ z_#X?jvRG8|!=<{1k9a#nxS|^R9P<0q6itEP=D7=4$x`pDg+lk00Gr(cr4gId z{=43tZSQ7ao%N3J71VWc{o^bf!DgE;JlFC}exomUysj(0z~Fbg?P2@vhOZ%TxWBCC z*5BJ*JtAGk{i9Id_E?<2&EEg=XXQua6^{%KbEm)DBE|j4wizQ4omlI4H#+Imc^+x& zGyXFj(pL^}!EAT1IeK$vVHuI$C=$mX&~rKZuvF#f{iBuFbTu7A_Cx&B&6>4Po_Z$| z&o_D=y65A_Hqyqxo9myR$~p+!FZ924??ui2Cfek9y2btGzGk)qn+Fk`)RlJRKm|f) zo+0Sn*}~7!Xs^|o&XJnGK`NsM_uX22=hB|#|6*1pcF|`0wU}3+93cq}(ufZPJd3CC8fmvoro)>3nshuQkuV=bhsm z(^gLO(LRFx?BD-^R_u73Jl6SKQNKTzFTsBHqWKAlKY>Sh#VfAwoBHZOU$^(~d3W{| zk)mMQ)p+Uu&qF$UcUr#m6UJwdFsGJsUgDvT`5}fh1=W1hQN@l8P;7iQpGb6TcWrG3 z-%4tZIwkr}n9ow{EoHf_V4u#bl^@t~Y~e zC4!*~7o(zX@7Udzq3p+4BO7Y;FD9Jk|7oWx%9m5MrFUE9l36k-;~Ak_;Ov7w=Q3jG zyhxm?Z_i~NsjKg1?jQAP4Aa#-!CQuOpcMI+$|vMv$&p z%w3Mfs_lXn6}z*X=C#yL-?q;_HlgHlgX+J#!=pYy{6q8=C6E4Jom-zq@j?R^(iGg6 zZ4(Sm>@ChR5xNksS6AcnM7$=x!*RMTQz}BWC7~_muH)$ezcrhA@{W)z0jIvaLMc@!Hk0H0VmUh5=X33RrBccrD#?J;}~9dHOm*gw^z(avX&3-<USjy(r! z5)bpVNE6DZ3}B|QbC`qrM9aFv_G+u|<2#ZZTCbbGFWlijo-c`0-f=cC7nbfhT<%jF z#lK$WlDY_v2u3O*7}1&ce61R0y$-ZCArgeCJhMouEx(xME|u@&k+Y+ojzV? zlH)2{cN%>_G}HWJ`FFS!MP{`qJOVfQi>M<^q)Glf^HMrO(dhY&s^k{Gy9aWs##cE2 zpw{1}K9C-^2#}>MR*?$}2Wz^aker3`{|#U|>j!r7>Sg@1tCDJ0^eD|aL3P3V0{e~z z*07`UE7k{#UhQ%IsY?EWiKIYkjCv>GQ`r@G85X4%)+4G%=Uu1|nh*bu>j~!Ze*YxJ z{!gU-v&LOj`AL<=N2JW7b447TzA_iE1U5ZNimc@!*`>qBvKP9OYr-P0_(FN5)lK%e~u??08!2?y&Y{UY#F=?kh3K%x?+z0<@)r`M<8M5xf5@ zE?n&#$h%pSkMple3LN`$B2zv74}0b}|K+G<`sBBFFadmBHZ{eBQs+eVlMT}3#e}tE z`73U8KBvz2$yk@)oauNLBD0Nc)(N+jDZEQ&K(9}OKoDM`uQf{zD(r=&_UZ_ROuT*( zdlgWm{Yt}+X$K&uj%=;@AKoI`wY_L0Whnv%jQsc-g&Hn)(%1Cpe{;R{sVtXl;6lHG zd+!AHrLLzZ8OI#Lc@C9Ir5YGL{q>kIx?(Er(NQI@f#S)oKctQj4R zBV4R43QHZawb>3|^qcQ1;!$TualhqL8#t9ztOQg*;3{;QhEK;{T3PA@Xx;AIVi2q$xW`|&R{E> zAvS-gqSQ$IaI8>Y$RNb`BRn>J+T?T;XuIe-nL9o`O6qgxWf3~76v3J&Y*G&Zw; zpB=Kt0FxBwd~moVb^eQ-=>IBTe}^Q5Nt-IB^pziQ-WK*nT0U!6V3m3YEXPv$|?YC<6X zsqBkaubioK1pQ=5UDlb9zf>Nu(yn zSd9Q;$h1IU|8*|ekmZS2LNe*Hhd__%s7^k*-+G=rHeg-qW4?)%SeWwF*wrH4`qA0k z8N>m)wP2%KI4D=G=vQ`Fl+G0&U=1%kb%@o??%c&GxY=vvoono;prGK;5X9XBHUd?Z9G z^g+nZ$A#(=WRBjxKH6;ebhO>(7$8{yCOVOpMSZqmQo`WY>`;q3v)m{$KR=(|{weLq zEFGGpXA?9M0GvKAJ0jG^#)ghk0i{xNbF-x#yrgc*3#9RBAc=pO# z8eHllzGQ`>qD8Fw=p~(Lewl`DSuZmO#3gK+yUDQ_EUEIk?R9pJ@a%OGwM)mRD|vss z`xB@7`se<)4nh&@qdw#HpwLkgHd5c12w9mT5D1Hm zwCF|wzB&B+3JA`*EXl_JC^P)Kba(997d)GrA}%g&q&)cqMCA!#!Qjug833?mUc?E? z+H0j)g)(%PpEpbZAN)v|zLe%lEagT$&S z)Na`?`Y_lJmi*)_WlvSb>e7`S?2cwfTQ06C?z4G$-MJBl4o*&!P)fBNpn*}mYN4y( zz2BD)yLD=vQfzuVI^KX~40+?28`Ifw&MExE0G4N?_jF}HQpBOfMg&hgHg@Ie+l5Rf z0n3rXSMalwR4TQEVVHV4w^jSHM4$XreE3vt*({H(gx0lAFZ8S&En>x>Ab4iD+z) zO?`k2Aj7maiG(J{s+EVU2Uhm5!OjgMy|Ry~y0+A9tdXfM#>s!?3$@QqhCwIFt?mt4 z{IZX^Jxb8PCCR^L7JVgPU}NIG?3N?op6>=O5+RVI_t~=mfQNpqLBBH6Uq1RB85$ipLw2KP zwLHO3DxmMe-aEW`_sRbUk-KaU7_I|D^=O_=D&kt11nZ-ByqEOAnwjm>dn&v1p$606 zw7mrG+^0$IM`*JIq@|&uVYWJ4*uLwf?yM+RyloenPtLigrw3(=4Q)ygofSG6H{sbf z)g0(|R=HKeR|EOf@@%SJZulj-IzWC~kVR^ip{cD)d2PTyK5;-Lg-;k~4X=(n$=w%D zdI%psMc__%$J(f-wWR)Su6>rkZn1EemBj{W@`is8;h~* zbOFCs*;%>4&mZoPLgx!tUC{ugfoNh!?@H-K+f)HqOtFgy0nW|U+27C01<P*a47uLA5eh&S zR90QSNSyMJ_VeSq%npRsOXuAwKm$n!_Il!E# zgYHFIwcys;ae@L|fDSpr;qaA^E2bhgh&+>gfY#{e&&O7~jf;>-{cQ6{4uPvM*anc& zO8bYwTFw9!X!)pCyk5yB>X*0)KcX$BNUOXeaLXpIG0d_bpNkQnBL?uXO2q;9rF@5_ zi%P8$Y+77^uS89_V*v0OKK*s{!)_sdP>X)z)KK*rF0b&L)~;@-pzx^JRrc^D)qdy2rN6Dtp+K(MLguexIZ-cA8p6|vf>BVxa`xxr)O zf0@n?&b7j0pm?Mz2th^K86GDpr49o3JQm5H-~?v|Z zp-|z|nL*l*j&O6m(20ux?>RtHiYi|yZaH$~NU6twFN0jB!P;EuTu8XXCadtv=jfQu zsXm3=p$qr-!7BY{C5ozxf#uS@Ym~9oH8nMXI5E>#PX6U2=l)%C z5U&8AvQ1!<2>^p{L5?h6)B=o;7y{&Pk09H4Tl?R0WY)9n2K5N~Y+m=tNuZF_Y|-bP z>_}nvBSzZkVAdU0Gpu40MP|7{q5TztrEA4 z=A?d84WEXRU~jH{0K5@spg|z%B?laq@_M7((SH8MTi#~&<)NiWw{{8zP(AnAxuSUcs zNW5j9LxI3E7l~M?U#7<9C?}`NOpdbOl)R#1=@o>gwQrLsAWYoc>W>y+Ra4I*1qa`` znrfo1mbig3ZG_mcx_5cTAv&OxK_45uqay_O4+x+}%hX)4u^CyVN6pZQ+amZT;o>dU zx>Fw8at!m$nuyodfkVgg%04&FRtIe3Q53jc_!=hrQB=1PR7y?5DA%OVCddW=#B5%+ zt)(Ro*WSaD<;%?y2Ord0)+{HPi6EE@89x_H0|c`6i+&tZmELckS>f14#kPcTwqmS^81~N=fPka%URC5$w&(t~SNbC2F_k`FB|1AX}5(DOml=7OI+&iqTOALEqaF zM<-n1R6E3FRqDZa+VLXNbYp6IID$#n(j?WuX^SK2;GSKCo3P@fU+Au*ribjbftM*@OAHmO;U>tVnbVdKKt@cu+GK>*H~DfdfulJ^%om zS;LZkOg9Yw$qzdR6ku+cRw^(z{KIcM@9f$?*E6AR{${k#!ZR5gm-_oj++@SFZSZx? zmozgv6_ESru<4)#)Tu<&o(OS$7lOSg%z5uu?URq-3@&rECVZo}&S^pf&ZGmSwhmMR zx)x7#Ep}=EmvMWWAc@&I4m6~K7&kda`QC=h822NlqWx99L%FhOjQZADqm(DZWGHf@d$s$$_;!n>5zO ztY#lGo`mjKkfJBd%xPQ?zs7hS1i1%I5>3?g+m(~3+Qtp2Awz*N+zNL|1ud~GO?Q2h zP|JPe1*g_*h_Ix!#y^rkwwi}tYkG1>|Msi8P)o^i@ylI+lg9nd|B_G-Gya*D_Ahbu zONRCjqB__42UMkn>(9z;{=Y?4TKIoZ^*^ZkA5{Ghs{R*6RZ#t>Y4mIUt05Fa&Zqz7 zhfu=m^IZNkB{KM}kA_@~VEwbtC1~lcRU`weACDpH!M_Z;tkM3-C`!RFW)CgBqP~ym z{H=MD${zRtT5{+j)47J8)?hi_08~XP;rr9KQ5-Oi&>`?ckpAQBw6Jg}uFpVMmy;$;gY3sNC^z2$szG*fnjDUo% z3UnjTHh2^@H#Y}}|Fx)*(mhRcTQf_jmr0OUSA??W?j6FiF_3KLCiG7cX*2*hG-Isyo~4KaKNxpeq_ zYJpNJY+v_~`%@&R_m+qgv=S7bF51rXo?uYVNfe0!eTL=FcQ{|Ua7FXTpiwA~t&!}l z)jWLrXsX|RfBr|+3>Zox@eK-rKO7T!KA!pu$ms{2n3i~ zvhg1McrWp!aV+~p;}DzUg*C5c`LmQ=Er14@KHgtHCt2E7%oQMk zIV@{lAXh}>t~+iQxVhYPlFd_%)i)d~;h-2M`nE_h88p^lU(H$-i7CB81;-QhIzOLJ zJ$${?a|kF?*74gbB%)nrjMEj#;BH%MWjFyYL(9iX@M}+bG2Kh zYN1$&Iipipqwd%CGuO$Cn;)aNMA)TIHfwWQcxR6@MWPiAX1wxE^A-n7B!m^chR@j7 zMn&-|xS0X|{KKuqpz3LjEm}ZvVN(0RgM}w;;>|5>2%ATM5>#yD@eBHMz>;bqdTR8*JdNG>2CZ_6LUvN z>-CvI#DV_)r&}c81*^*~GI3FuHkEmxR(n%*PEYTfH4W7aAKhygJeBJ5a*w~`oJm*8 zMFmAg(Ed{L?WL>CE=XH%`4Prozqn-h)Pr`T1?tKY zQ21DNcAHw7sv8rD>>vdN3@E0;*GD5$R%YfF;MmF4s3Wv!;Ix@$Fc{3zG9{wcez4=& z1q5^6uIOsX9H@x1&iL4`@F-<|l51~oa`XjV90^Nw1{kC0#+8inK1o9P7PJi4j0MlE zo>|V&9xu>w1|6{P@aSl6Rsy>x@Dl(+GWg@1;`a#Fox{UJcjABgG0cG9T6>2uYXdV{ zYsarz3CoWc4E+`468|vBP;YMBD1Hpo$=?LyxeDv!1d_OIJ ze_CGnyU=VNmt_`ac5jyJ}OfHuiHQ1k-5 zmP0^Ao+8S1`8Bn}LFc^YKK?T|LAPtD%-fs(Au#31=*3f?uP23lhLv)3JjyEu^D~86 zix9nP#VXM26bhM$e-W$V*7;mZY53*^A_(qF^I|Zmtt@X&#tq>HtRLuPtAZ{TH#bFq zgDfp}FTUdgSo$Y%+Ix%#_O;H5OAB9Ta@f~Q!(TBG4d$A^zyD}G8z6zSVS{ltBeSH!HKZ88h0%G7fvL{PT~`D^+Z}Y7j0YC~GB0*#kA_Kp))^+b zgE)K1vo|%q1Jfp;%tN@Rf?7u6msL@r6B>N$na3qyN$>X^!zqLAt?VfYm{Sp6Z$*R( z+S}EZ@Id<}MnV61RHWb;_6(0QYEOB{R`DQjMVg=6F5>~(RF_|y!Xo^3-SS1@r`j^D%+0yEKnD_t zhb>{cl{Sy5Pwtsrj=hWKcTn>$67?Mn`tPTO-YEr$)wK`o<`k^H_Te`mQk+a|0FfcuAX0$1M7yizxA`+sPo%&^OqPs zUdtb`NbvhVH%9pH8AkliRlbi1|BnYebDl6+=iUc9?wj!{1{yR(SIbBfeg4{S{|!hk ByiNcB diff --git a/screenshots/LCDTB2.png b/screenshots/LCDTB2.png deleted file mode 100644 index eac3d729cda7c0c6cedd6fdcfb52ed243b947db7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14199 zcmeHucT|&E*KZs~c~yi#M?`53il``v2uODZ1OWwG0)!?aozO!IA&!oKAVevmR27jD zLXi?WI)EtBtArBioluiNNODgCjx)aV-tW8Ly7!;EW-ZF$$$3uQ<+p!(bN{k|&aNE? zc0eGIUAh;vu0kN+-+@53)c)%`@MKG5?FH~_yW0g5PY8s!hW))IMv7MmJmmJ$y>y=Y z^ERHnCj@1R98AC?5r^x>UYf25gpG?A_!9!r^suq=vblB8$HB|~ppNdP%eSO$xFL{( z5M8aGulo{b`%%8pD~a>0=HCu}|1c`J=FYP_w{NONXRf66!lyE@7DR!e3a+-iyy=v{_sPXxUUB0LCr(m zgWo@CIGoeniR+$CtZpbDS1DPtntS>(^6>Vfw=%9D|NS&GJ(yb_a;|boNND!PE=k>6 zOu|^+QM&QELwhi*1nsH17(Ria>7XJHM~1sEG`c@P6?RyUj-{N2|{Gr;eH>b&D&-Eyj9 zg2Le>QbD{7Y4Y_zxQanUGTw21yI4&_tNXi(g*ooLkzm<%qHh@z^}q@OnZ5Gp`vM{T zIcz3wD0fJNWiA}cP-RZej5Qng2~;sG)*A`KEs=umZYR(uizM=_{K`!QxW#fgqZifI z<7IPEclliJ*I9j_R`*TOn0V$h}) zXY_#Pc-_^L#RUvW@(&$O>x!z;h?&O z3PC*(=_G9CydA=xDONpX*=MMw*ZRT-(SQ%EKK@{qrm*`tt=*pFP(_`(nMrqg-U7aC zX!e$&G!C^sA(Lkxc*6LHDu!bK#_I&BbV*a{gMV(JTeEbwHlcX%4sA|ip$fC7f;;#a z59E#DwvrX^^&1`+nPq}*&g%=E#S_uKF6}OrLkz{C2nl!k`=g;DgyHNA_d0~^=Uf_H ztoGNc`&;8pktP{u7t1GD-dT);LDZ0#_(S3Yq#rS}0&4p3!ZgoYmmD9Ji5_WcvcWpz>B3F-E*oT+4RqmWEv<4TwM-2GuC;fmX|*ZWhAIxj z)}<8^f8a7Dq%pS>4BUN>ACU>+i!@%GHZE6+^clWq)5@R~k6I2tTU~f309NwM&DT<% z`1SyE0{z|e&5@Qw(x=?Pq>~F3&2=9!;fPLmrtCslp%|J-B-OE$)gzP?g3zbu5=46A z))yOP=h_#3AIsva*h5I%dpEeoy?VH&xh}^7d-y(LdRVOazE$8j`if-@PZ)4 zrifP0p>9Q;SnvMoLkA!So0X0|;vHtt8r9tU_s*iWaY3Fzd=(zt>0Y#}R=&UoH1D?R zc+V*aoDVdp*>i}KHtD%zqQ$Kr_Xl?7SQ=5|rj;weq&^nkg>YwS8?AOvvY*4-JF7bdDwi8-!uT}alsXncGwbSdSgU=h9|dHXA4f1uGdUaO%eWU7 zl9u!oI}5XN`_{Hpne{PB^PHEH=~ZnUHn5ajK2qRV3cV)QmN^Nl<;IrJ4%+RLHYsph zcwH+;uDW^I2=Bcr=^k#^_HVmdZc1%zX?CSUrV(YmUe9(on#h-b1Qgt7xJP zCMQ`GtmpAz2BqeFdYUmShWoH<)kE4<-+3P|qjl@s4fs2fMSQMiQtd=d)@O>}nqM^! z4rCba3=HtV&Zy1atRVY=Wx38Vo+dL!eJsxw6hDMZ=oa|zCA;Ie3>md;8s_MZE&T3p zu2|CB+bk1^?WK;dI=ASct()%9)w>BtOL9l6mZweCP-0*+I4fi)KgLz2*si*iw`?w1gJQ{--BUCi=W5CbtEyNo zYwyx=95+0{{IpeZS3IMUKHh;~xfys`S8;RFm4}V0V zk1(d>Fzr(L48`X1IOfGf#BmD z3JYI(pg**v`0q31DeIobHsKft2T-$$)N*9o-n+b0pYV}B zk5!oyGgEl}4`X{V+0)lyS6O_1Cs!w5LMycOv$eoxZ7}Jf{FLkFW5@+A>$`ZloAe1f8>??$}?soh??Iini%!Rm_TIHJ7xrCNl~f zd3Wy$+^Ra=(t)xTU8~MtvIv}BVdyJdta+9UvD{imU(_8g zU)PN5ClD8&Qwtm`JAakbp!MCU)wc+oA`?ickIkeD1wgBY*_$ouEVNw=?b0-}tZ5`X&s32oBifIP zD^ts8B+*sNYdOp@&-f{gwn&`>SmVNyqayx5zyudQ&UJ-So|B-kKEbp}rdfC_9oiG* z)e1zWCwWHplNrv3>A|?KBT8Suiuv^ETGA%plKhv2bi|%PJ*P7dF$XLzTYvhs+O=Z- zx1C~1tamT!48)dKNsZ{4f}}>wVv!H5Gi#lpFI1%C?B@xC#tze8P6@cE-?)JtYS8HO zqE9bg!ZZT!KdhoEel?Nr#AI~n>~va$2IHkupiqiv61B!?&BsLte;Rv#%P4^_-d)GP zpPPT764n^F_?u@JiJ47gs(ahiW7^)37EMiEN2!wxQ zFI=RB)rP{Tc&~(~RBDiCx9LPZRvjvEj7T;rb1Ym6E+Ed9>H$4`%5AiAcD6+vXj2U1 zg9Lmw&>T-=VDQQ5H*D!!^k{QpiE?6=@@Si zlSx=wN#UJvU&M}Upct)eo7P85lh9y!9?5P;?Mp2c@obcv?rJ`p zpT&|467EnMx_u}oiAr>3RVB@)L$$Q9Q7kmm(^6yV_8RL%0*pR#QW;Ln?A^|)e6|>0 zhtxlxStnUVjg7^eDz7Oe)|Q5bTtKRf5s&S}cc6j_>PA_ny}hKK1!y z6?{#@Qs{=ce0Qcbb6|kCE;qYwz+!=cjnzuK+q5uV&Z~tV2E@(bJlvjB= z4MS`9^c-)bP0|c)2G-Iw2~#VR8Pf!ptbv8Pp9TU}2e0uu&o4PrZ3^lO>McCr^8J}v z_rd19aCajZ15q+3Ey~>nhfx+OJ8M4Vc(*2vx7)Vh}Ol_urV29+Ra+?Se}^| zozmF5B4jG%j&QZNMCbGaRC1}GTkJ%!G8~6i2r@B(JEX?IHhvUBU#$x_!2R*cV(McH z+%jihUQ0T88c(stnLV)T`qGQt|J|wX`oj82!7))UyqOeedwlstNxVZ}Y6PI;ULzY~ zJS16v%1&WT{U>Yqn`c34IY4b?5nQoM!vk7 zaWtW7n5XCCS#j|bHz1H5TF)<9PI?g&Q=xKB#VZ)ahSD}YDSQF=QP6grnh^c3&DSS> zur{u4FLmxMLVW$AFne511*Lk=Tw3%{S)`RZK#K|8e+(c3Mi}o^NsfNv*dk3TI)u%M zhamz{no`s38D8Vrn}?q2Rl!=Vs;UH)nBc}-UF~hm*=JEy$X>+`+p_cpp2viIYp*^N z6CA@Ah2K~jSl`c5c#q22LaU~_72+u;?ak+BTJ@xIc6ml*z$}Dp*&`u1TfvtrG$_5| zbe-1Y-gs2drUkm@<72uvFKnahm$zT#Z@vnSf*U+k&+ZO#*jOCArwc5OGbG#(@dWwD zECq|iQ{zvR#k!rUot-u%0F1(U>Nr}+xo*z81#OuXRSG9uSsJXI=dgRi+(Hp=A!bynzc#$h9sV7}SB?UocWBJ?O zE-O==si~>_fB>kPnwt7!Nyo0eijIxd(em^2JAeLsJbBA)vv~oLSuA0qrM|Q+^EP~C zrpI%tBSQ+7bs?=Cy|#Qmq^RH8+>gf*?0EEC-uIA#=a=FY5uo6YFKj)`JazUMKPR}|9P=C5{c5GR6fC_%KW&cMr zew~}s;zWwyv=&VkbmDabE!+2VW;VV5XMK4aZj}MnJ6T>81TUP0JZ=LL19Yqfd>0(& zG0^+|-}d;UC^`C*C^7+dsd7!uK?YA@c5S*8d!R+D>Op>KHQp)11$wVt99{<#)RIkS z8TfpT`f`R{jEveHe;Ec-aO%q1D+RlltX|}WFdBhP(!am0ySg~GQc9jS5@8&C$rG$e)CPa48+7lGi*=JpnT zS^Jh}68euvd<`oa;}yp-I921fj}9*k9yg%Sk}jpS)9DsCQhrztu@>*-Usj>9ZD%43 zjvRp@P&|z@x@qmG`KYc^AYu=!{5*VIdS0jM$4c{Gxq3BnRYW-!uwkgmphLRwGpV<_ z4gNG3p&sa#`&-u3v)R906$}G1(%)Ov>*yU))|LV5clxTDO{{H@Pi6|7Mx1o zAy}<^`>%E)g4&{|>onKX;O#P32pj0u?Lr|TXM>b8zuU?x!*vJQO!nlDcVt{M$})ar zkVapgj2c#3DObplLDILdc*k&zt(+V{In<2~lzF-d?C1H=V{3WiQ z+*_#OTY_i%4WNV1JJp9aDH&(M*;+f(6)34^NhaaSS%M2`%^=V${LVABs%XaXpzijHV=vRj_$5$pLX| zLo0!LdXKRe`qj(+UF#bw%kj6*&i&Z!DuEqY*_-%?+I<;U)L9zu%t16@Tj0z(A6tL2 zV@c%XO!&+-Qi0=*SL@Ir1a4uwvM(NTG%0E~u*P30sc%|-hjkRVX0lKbMx zU~dYjbgT>GyP^%l!?D5jYLbAwV>{Q)^?%b^ybyVKtGn8_PW-uaqTtvnD?VYV*`(%>j?3x_X5(W5eY;5vv8{v63YnLb6>6F>tDK$1ozI`Oi zyo@rF->g3N#M-5F@>Q^?|55R|@}(xpxjUcEXZ{tX&|SELPOLUDo1DPjn~sNUOWA*kSNtkvh$ehFuklbw;__xV?D5NP}i zL=R`S=qw2FyVY0h&Zn{NrX}n@@!Yjy1*UZ!3SA+Ssyb0qE7RRMuV23g=A5;f%<`l5 z`{>m8RMGnl1BUj1O|jN;SedG9V4?itN{V)(&ww9mo{#kde=otcc_vH4DFum|lF(&u z<*@EzizXj>>RNJW2#bbg`L0c-Rncl?vW@e831ADKSIvIsb=tx{Gq<;oPnwF~{3|qq zkuzfTQbR*SPYXT$3Gw@H+l?&zZ2bIIhp`&j8k_|@{wQ5GLv+efW34YKy7WEik+Z3f zUR+!ZIVvNQlb&wBk`%<8BQvFA^uqVc*)}C8nU{L)R}Y}AuP)VL#WXxdF8FMLD2<*} zCW^VXJ_nv0`nHf*gfK-SS29JK)Tn()YAAz5Wn^vW-bt@g!(?^-)>5^V0l)D?Zc*PG z!|%dnDrrNxR@MH?6ANwnGM!yr*=8k+Q@EU>Y}IXrY(>d1{tiN9+F$RhS(r%hY;vF_ z$15NlYm@xBh27qCS5gXd(6de}D1)C z5dJ(wuLA#V-gM(T=$k+*>O_3Zw0_*TPh+`7Q!|JsgVes;sXJSZK9k>_W#S3s#jet< zO+a^cJ=zDCbi#49e|fMr6^?O76&wdk1}wW_(yrc^6s)>`&ubh0)_l8WAdlm@)x2RL zTXzcZ95^$9vt&%QrRpm>f0_r9V(#5zlQ&FSnyX?l-`?fcpnS4kLBa5FdH10jAoxa5 zXbb@1HRt!4p8*gXIDZ#n!6dbcg~p1i1u~{Bb4>D&hzp#Ad$s(`eJq)-l6_m6_gTv> z5oNErz7in?=VEdty~w5i06!Nu@5Qusd3pKvSC^(qRhTH9=C-yrAk4_=E+`BZAt?Kc z_Oo0GU90lj(uoFy52G+6BcHjx)y0o8$!8qezyYctr<{;mS=kjKt5f|7qnBt=v{e=>Ecb|o?l~#iPR7OlpOcw|9zRRTq_`t4T=Mhqvxi6W-4vj`WL~-+p zssbepIXn3>>iF^FnVFf6PEK;Wd}M=SqNC6BT{AUR@tSCPt{I$dSyee`6MjH`s>d!F z&$^|LaebF7FyKyiM}*L~?pKFg8lK9H*X%Kz>B9Hoaw_51ub;VD6EdERQ5P3Q&E)Bb`i-Qg zL>xbPvVY}h8JbQxX&h}wBn5a@e=$lwrx?CUy-KG=^mcBQKm@o$ z?ny5llGTk|3%@>9o$U2Ad?v*XT*8}Qxlq(OfP2Yz`P7Xy-w1Xku?-TiR-@Mp)%Nlm zYA}}f8ks#>U16ILaDJu)mx{q4p((8Je$brlFQZCVjvEFpMgicuOeV2FELlHOGV}Ul zb_IpF)U1I4mL`rsd00vC=}Ts2!9u(=Ge_1o*epF6RUX3h)Dl?#r4Jmh6=l;M(A!Xh( zd37)ttgExLAH!lu8D%%Dk0hw0{SgR+a-!&2w;MoVGIyjc98<#XQDa94L|~9z3t)h&Fnz1?E*I8#9MyN+!4_53Uz%W% zuz@()2q^~GS!XV;Z9M528TUhguLJwo0WgI~s0OKTTP0)G)esncaOmb`-i&&qO7RQR z^8WoD%s|C3ZBlunxiE;UbG>KYa*GAbzvV^(rh-=kgw{?qw!Zmi@vmRT5u;`ZFcijJ zsS>$CzpVz&&u7j#Q|M&=*5(!%`Z)g{izYG+=2K@fU<0n-E>>>NV8?@^)Jj zReT~s+6`1dK-GUh#e3!su#++ts&-8Y4Y5&jC#&Kqv&vfziUSJn$agJU_Uh*vg3yIg zJRA`gGBh*<(2D*0hd-^L;5TQ9g?7nkN}Rlt0TA$pSbd^+I5WZhA2a=rnf}L2|6`{A z)=dBZYMQNq*?qC;U^Z9?(LY<|!{g*=do~rsiNNg(gMmH9fE>rA?;*k^fPiSVIJ4&Y z?motU3nOC(fw5qeFH64Hy3vI2?ZEN}fx9X?=Y?{~>Ly8W8OrF-Rx|jvC-A zcDy5~?8V040OY!n&{RZ!sXQHJi;2>X{SHzRjUG7w{HbyxhyFE;> zl(jKM(ZTCjL6FhCEi?DDb+QlZ zUd`acs#aLw9SGrUrllG>68z9uW2m7P+Qr|t;FNdPc9I48bsM7*tqq4x^ zW7XH}5s2BDo_xuRPk!K6>~pI=mX`23V0Dp=C*w)$wo#VI;c!K8_}SUnbJ5of{J>wY zHJ-OBeyIEr@}50IxZ=QB$BuLW;`F6UZh-*%QXo`6;y&a|hVdQqPvw3~+a(iP+?q>~ z)7s$&3a`B#8q)3!6F5m+5z@9{3(fDM>6qOoMt4nbfghv4FLdn8%x_Y5>aHuBsooEe zkLqd9v4$X)-`G<-M}V5%ouVA_>}E#JtnW)u`)M2G+)wjFPEnNOyt8pqRcjxQ>%BA% z^|wi+>q+fphaJD{uU=fgo?Q^tSl~G8{OZr?8^gcj(T}PQ9LrOidJjO*z_HdpSR=r2 zKa*_$7)eMZ4N4JnGARGECOX8B|3=rW8~@R@e{}61T?76o=I?cFLN;rV{`AwI6Y)i} zjQsu^JUC1m`LGOulWD()`Zil$v7~!HW83T^f|IK693v=hCVhK3u5W98#ggFT=6vfX z@r8~|O3+3U%f^(G(z{UnGV~?uYXOOEBCVV?VNGFfcY99_D4L~SlmYDoik+S zMjn4Ny}nrmu;gB$&``9QQec1dCA(FILqOyMp3Ppe?(G z;+u5Dk4b+{M#i8rUU?tcNRr0DjraTM~B#>qtc zt#ley(T2j7#+${|{Jm@q0dXN|kYEgGmepnu34>f5-Z<~(+VUhIN5VsTUCI!ESOdH^ z@My7s?YwEV#ojbL!i-JX?vyD%1>7KDh6eBKlnx026l)fs$2`9mmb1MWrK1ReAJ^S6 ze5~3_Ink(lyw9^4J#=4Ed`RDNtqsuMy=jKYL1K)a=0FPg_69WBQx-d%b}bow98%1n z^kU}kifPr1t5Zt=3#(o8@Fz`2M@OO$j!}N+kgj+Jt9k^Ed{XxeoP43Hys`&iiueRi z9TeZjD_m^b7#H$H1H=3&Sh#Io|25Wy%~32Q-C`!5Ep}Yij|BtC8D}a?9SH! z5||2Td~G%uyPA<`Am=zY!9g_+62H6b)ojasF>-Tsz7j4mqhy^_S{R1=0q`B3wWQh7$HG7k3ii1fT8;;uJoNIpoD! z_-B-0cA8cABOM$Zw3b0Kfz4V5AGs9gwcM(ME9LV&&U1rQG88HW7Wf!cKvXe$t16gl z(?Wq0v0!}JI^q236d`~!&@)0L+B-D0p8%k814ezN8r8mX0lru4{Et$RdSp8gBn z9})s+MO*ddQ5nqY>UgpdQf%HZ4?;Hpl+xH`FIK@=U3+uMk%Qom=;8YjIsd^*=oCG*Opm3PEl5zFb4~E(`>^rde z__$)ZO+QM~P#w@~@v5a?VC%pO>t=A`gVthn<7&0S!a_^h@B8A`M?}^9Zz(7sh+a)f z<7d`e-ak52+oz-XDIz@DXA<(sbVVooshl0)m0t`7pC4zz_N^G0rOso zrjPj=YI%_d&_gx%kx1lR7y}KIjak-xVAf#~2NBk!t|o=dM+uw?+MD$6^Yla5=nAoDIyY#%Y2tv2QUEEw0bhyNr)cV`PM^5v8iXft7V`?shw@8}=%C zhWO{fl4~L(Rs_9ht)agoI*Zul4qnO?b{Y+FDJV3V~xeJGg{3MW9=(jk!<# zMStG2%MKhG)#7`a8Y{Z$>B=Acb9f=_5`|}HDc>}HNUvAv_Uu||&#sB~S diff --git a/screenshots/LCDTB3.png b/screenshots/LCDTB3.png deleted file mode 100644 index 950592a7874b13049f0786cb012903b90fff695f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 45059 zcmd431yEc~7cEMJ5FiA1Nf%aCZwbxXu8BySyRa z@At3wZoPY-OeJ-SBi*NW_wL?%t<@()QC>wA0y60 zyu3U>98m40wVaTUUUokGe-zL7k`!?g#rcDr1j_O=Tr4z_7c(yr*OACAR5hK&>}+gI zY@HE*A|Z)6nix2n7{7M2a5jG}^+8V2n8gGI3F$S`2l4l+?sK~k4_(#ME0lw+!QmGi zFJ6aLf|x?ggV5mM z?Ot|{x7Uut@F*qmTFEC*FPnhP+XsS9wOiL|E6Hna!v^cN6f7*tUmAapX>$N8l1MYO`Kk zqhA)$QoQcVi7UAii0NEYfNFx&f;c`YGLk=(((PCg()gKYQVY>|>Kt^lNIf2>5^0qK zV`uf`ua4dW7|YmkFD&9EMi0zCHb_Dif-g87F^8-r#n;DUhBI>BI(&%NwoRA}AvpZ1 z;{vXu>?zP0(<%Kp1O1_^1> z%@8`45>pPjR{Quhb=-s*JG#N&+3^TZO-N=(JglfVg5$9z?^l+E?3;n=dQ4Ob8(cN( zI0e1JiTnvX*hlKT&rbBWcwL^OaW*F<3B&BwCp0*eh!LcC@mNG`nOJVt>%#~RHkeGS2QhFy^pQN*lhtZ+U-rPLh4GBp$Bura^s7W5d3OBL^J1`o`FsmC=FF;%8fIj1~_6AoK-qj zp{1F#;h@rU=Hy@_1EBMnWE~DPLUC1*ESrMkv}g>ATQTi3B6zzW#q-SH%Tag6PISK3 zzGH<>ey;q|_XHU51j+s}#ivI|3XfYzU3SIf@FuS?VN`{Jv}Bsy9? z-Is@R2f+d5qB~l7Z$w^0B`U5vPw*Jr5vSc>1h8TgM?8C)V|!#l_S0dn|H7d>v0C6! zy4qdV!*iBD4rl;`gl`O$Q1C6#Tx}tm1#Ai~9B+9}Q2FT**Zs8_yhG^uVQB42QCY1I zPf(!Qnjt2hf4ugCA4IHy-O{UW+imp5hN|rf#ifY>t9>a#Q*HM52F#$7nCjO-@-=& z`t#kiO;)XG&YU{fhg z+k&Abo5d!Nfk*KHN&=^6DH`}YZ_G?$-F$>86)*C-2B^4%YJGxp_sds5J8u4T$GF3`~Bl2(}qN{eoRR@r%RQ>Dnv?w)X zkAQZl@73&*8n|tQ>uz;!#H`}@Et@Ng2ez$MKN#nYXc8_Mz zOxa2fq3|~-XM1vsvDJ*1b&rS78{E9o7f-BQ+v3-w&bib1XvK9sQ@uT6MUvOF*{JM~ z(PIunurDRgDFo`>f;RVE?jz!&15VH9Tki{|95~}&Szl@?(`jb}YeI%VemIN{Vtt^X zNc^3N)(da9#%X!XSc_n_KGg80;`L89b+JoVSHDYhbo{I@c)p@Whed11_k2`*ub+Kj zv%Tv%m0w+DNeWORtOz9KJ?nl#%XD*eEJSMNn`%=*+?ib-VGD;*EV#IPCjoUZmHPO_ zk*kP4n+*^P>(;BJ`CV>x`7}Kp|J5efFrdwdos2&2d_*)7({;J8SLo-rb8@Ar0mvTt z#VcHpsZ9vO<}}e+t(r43OTLoSiG!bj2ZO&AX$=!7mZt*dpR z=w#q|Y&e%Z_fWGaVe*hFhw%a&4`J4j^Hv$Mqy8Pu9mZbJM|9wDOlGZ-)>0u~?LNDK zO~K{o?y?SoJw6R{2`%M9A!03fn!NUjcU@8K$cdNVQiCBsc10jes@1Dm3a$l<+Rzx) z+gx}>ps;&3xV$paT5l=(6vl8oBxse$dilO_xraErHZ084ht*r~azuFg#a8;Tn%-#K z38>|Z)^xj(SIecw)=w^@T6cvzXMObK-(sD=c>#dw;u>}w-@a{n@YRe9sGKJw(ok*h z&U?z_Npx?am2njX>j_4m9>0%DZN7X^2%H!~=><0tlo9VdxD?ReK-hs`>0j(Xf%%sm zPPr=#jg?~cXNFP}i)q*6Cn^m8GJ)hIeSukdw;=`by>9x?v!)F2QqjTAOmj_7EZ4WZ z45M$0dsp{Mq!k}ChLW}@cKhi78I!`|#wQd)d-;(O4BIRYvDoEu<}4dnO?>k|9w&qR zDGg6GeD7$b78g~Fn`?Z!Ioc>F3`WXVg{>BkhSb(>TS+#|lB;mJZ&UR0`WC>@TW4@N zI9E68*BRq7cEx|xapO>6bR9}slv89J&qNj*} zSy;UjP)-3*$KOzk7b7R4pbAU6wPbw1xk)U8N%$N74(G7=Za52))#$2cW)@Kl^3!L0 zTP1Ehv!O5KcA4;5;+=|lm z3b(>3?lRaIFskOj#m`bw!A=PKLsRZhtM^&r;uy=x4u_k^2_~*^qcs4q3VtS4caD>g zPGdP<=jWdbqY+x+g(c_>4lMdY_8RPY#i&o=!~Bgj0~fzib3Yrml|2SzR=M zT@!TnvkgQx6;rG0Z$x(bH#zdDySEkt)Wb`rF1WS#Jm6p})Agy2uHu{~oEr#G|HDPn z`JI#54e5t4vjAit?E7E-7V2JHhoE%2ay=nm_Ml_iS+muJZ!I~uubP@I&o6cG1nxXW z$aNGpXD=ce<%!`=2gf!$+Um>aH&QwToeb{Qhh2NGO6MGxy<6TE8Qthq0WCH{SGuPZ z=^Fy0_V&PT$6YS1gs!Bcy$N99K9k=;LSI7pt+MWK7pphmzy|k?>DXD_$vM4&Z#oH0 z;2r4#LCd|25ktK(ttfO*a{~9~8YVvluUD9$kZ_O>!TM%xWrs(l=(;wucbND zHa)|;@ypj{Qjm~vTyj@spWpl!OxLCV{@|MJ z*J>seB;Rq*U5-!$k*`q0sBuEV_I7{wF7`5-8R7G1AMUbyboD|6*jdtD9HP|^p6fz( zG}c;f+*Zxlng)RKmos#J4N;n>rwhHHwZG0+lo>bQ%B)5tMOTys^k_uhwKCV?33Bhc zx7O@!GmZ=im|*zY>?P*zT1m-X>_2nz_PQE%^({HukB*I3dGb9m#0#if3mPh+3w5CG zu0Dss$$H)nFN8-sz|Z;`3)Q1^ecMJwo=AwVs}_-XmweX<#y1Smq*RxmVh!iCUI=Z_ zSRWXpAwi_Wt*6@YYu1)59lVV#0E+jT~F|C zdUIH@%Fa7|$mM&-Xp2epo!gcKqTSXbGGPfgg1=g_?(ov^Tky&E@YSxm(7>17NIzYc zDpu~GxM=s9*e+>|h(>uQ%;Tfh;bSIVg2mX0Rw0TwY#D9r2JnB@7;zJ7OnB$8H=Zn+ zyhiF@!ne^pvq=l{8uIbGT30uVRZ-m_TtiJlDI|9@S+iz3B*nO)b+E8{(^!&%+T;~! zb9tCnl`3?R3EXYcVlU)}?b9($8*c?y#N*W=>b?!B-yWP1rhM@?Lm&Nj!aUW zi>3ZVS_2jLI-?rNetb&~P8>$VXOmWZ^1B;t#^ke!Rt-8-klRA3o_iUE#FF?mu$0>| zVX=zvf;V8qa01fg@0F`Ym$9XCxChw_Ae8e#fsjw^shS~L)zxkygY?4Y= z{@x4`%KnN~rdHEF(LPehzi|XfpE~u=3WVhf;JBZs+|I(%zv77k0Et-_pKY3ui!<-) zE}I0VWyFnpZ!Fhlo&0VR%qT0@UkS#iC!c|huB5eV#~f6i%lJY=tj_$E7#B%M%4VedKvXcbn%rV>Et8K>y6qgcf|`w9pp@bbn?k45p3S;4K5LVN_B(@ zr$&te=9S+!^FAV(to)~C12omHU6n2#01A<>e+%vrf$&3EEb!)_nzKl!m?&{#G?3ds zYwK+=enMBJCc%KA7G0vm3c3q=%RbcpV7VgudO}t{bSFrIglOfujm|~c|3UJ!$4e6&>xy)KEKBp|1=dZJI~~b=d!Fi6*dC? z#>2xc7mR=Om#1|0&+eqW@s@#b{x%<5y6xzETM}VJ)IG~@dj#Q+0M?qEr)cJT^;E#i z^ug6NFVqZpZ6V5&WIYaPeEF&KxSYd}j8fme9PG0Y{pW-*EI%W?Vzp&W(^WQWO%Nik z{6m!`G3=6^o0~g8lqI&W)9`SqRO0q}+DAV+n_b?=dJE3%CA1M)XcJc`xX_Vq5C_4u z$jJKIzNIH?6wX?}7GWW4)cCBxD(?XQ;9F#|#%rwSmw*7#m5q%JIDDxS^g&wM{?n(d z^73-!A2-+d6}4c$nO)NaDs#nWu4vK-DkTlgv4fOBYQ9H?TxGU;UH3Q0`csxdQQ^2>F}()WT)0Ey$HKL!HNTq zxVJA~7??Wv7DfO@qlm zf(c>lJHHyA!RV%Qj*od-y8bBiM|)fy8oX2)fGOc=gQ`^s1%`~ zi=rTejjiD-TfS3H!`hUc(&)1By<0Rc5W}GQi4fe7#K`+k50Xr{_=T5u*_skbQsXo< zHil{veiqrD?G-DWu&9}^peqpfzr?sU)n)RBTt`hyY^l%Bmo&Y(sVH{m=FfURZVNbWa>PygCA z(Wf)Ln`vcBpP05Y5fTyt8l!!lAX7X{N|Pxh zOx{DLRg$?weSN4=!M!aR>8^kwV|F$&r|apxQem5jSGZBzPY}0?l>Ycph~z|OR-sv~ zZ6hxqsmbJ9Fwa3utPyqZ&~D6rd3_m0#0C%)Bp*Y7ZAku}z>7*ItG7fio{8Do+G@}J z7_X8~<7u$DcK!CJYD?UGwEuu5{=5;@6mR$Wt7Xp;84ebMnY*z28P-inb%p=oi1q>CvO zs?n~CrH{DVe~!U>FRe81_q)^~K(sVNeE)_c8*p=Tvp})eggsf4sccv-^hQ609~@Bq z=q!ucP=2*N>y?y*ySsS!97&V;K-zQEBN9YGS*~cnM+)0Tp2&|se`i&u$J5u>zq`AW zYfAa{?J3H$XIEEO4Dlc7)bn2K9WD5mWtVvyinok4qhRhsvKzoZZ*(0kgglgm?F^oj zc!^138geyPY$o-atCC+EPMew@6k)0U!KZ3eE*e82!NDV2VO@sY$>TFKg&xPIN=l{e zU#X0YjCAU3$q5LcaJWX<;3@IJa9{`BdCSd}M$i4+7=P2!JRBp&?8diwLkdMbD!Gd4 zP;0NEO7=dV6*)NgsZjoA8Fc9|z~E7TLF}T=jkfy}FqHAgnoi}FQt}6q8te0z(N)zN zra6^woe!N`6yL7#3-`cXAX||>wr?HBq{?(?uEuI>ZO_T}TurY+{ug0qr+83MP@>*o z!QAx*i_)j6?ZJF1eJyyU{ggD}x$BdRDAT*jp3WW#ZrGvd()Wd$32qae-Wte}vXhPr z%iR40hTLXK-O|-MtJssh4;`1YPqs3+U*|s{bPPX~tPJzHru*N9OH->d#4EP|*xA`x zSzG;DeajqYnwt3O6bkk}e5ByBHorvPdlvt}&!{Il+)CR;9M_>OFv2SKh&KlVcz|aE zylVa6FmUFx>SpS?MJddQhD}JFFi7JFn-<|8RkqiLFHT9N>3#wLDn=&uuT+o;sJRgJ zi)g)b?3yv;ICdAP(9BV7eDjooA>MMmHyWE6U|hzYt%!xSEo{7<1p&Ki)bb2qJ6u`4 z>3XkneTg0tjO|{RjuY2-1N_SG;?B&-GbeVito!4eg7^zyyPZ3^dH-BfENQc~C<<#x zC#a)yBe$MBwLJOzZ$!07hygG+^r9nJ9H610feiy-Jr^YP*mmYCRVO9nRxVZN5Xb<7 zy&8O?-@!-oqX$7cGqsC=;|J-ZB9mqc)L?kFiHN*nL9dfbJwkZEDU*9OA%>uCMEf>hb`Yig>(ZpUf61^gL|U z%L`u^p}LSynCpND3JbSNgUH#9iLjgN%nxrakMoohE5j%UxZV!!(|CUcbQ@mFT=3z$IDVtJFSeQ$+dx+N|EKWHky*1YRB<65`uNhSWPd zJEimDkf!9=?{BRxkMKE6Tja%QtBA*fLeV_F`3DCpW1;0?{9~3C!fO%ltSp(boPwS5 zrON{d=xAspY9et$#qDSZanJ(9ovE&$UEwkKqm1y9iPKXQtV{eeMv*W2fnC8gkTPT% zA(!{%@Q)F)1I4^$!&B z#}a{jDF^c{r?yIyuK$%#1taiO_x2T7*~^PfxLADCzXWTjHQbY*N46N_Kb}w_3J}?Q z{``4xSXfv{$PX&!C_NYbLyXkwGVfgBT;g< z&t=g%?~I%L@_)prUUG5Jff+@{dvrPj6kbf=!^Ue!4T7ql3dpRUr#3FxK_eF@$y=1t z(zN2-Kip2u-u(OkyJ|Tq$jk(ah={a0ICapLUhfs`FQ2Za6Kfmiad4ICeGn%cCIj1V zYDO1iHS&Sv$tMFidPc`g$OPQ6-rGOx!47)l)caSi#t@U1w$R{Y27pe1W*VHfD7<9! zg4JAJy1f0(^EHBFmM1o1LI=U(+{#zvo0b2zTJ8P*{rmFrvQ_)RC2r=sd7kEYwbb2s zcwCd*O%8_e9qZg_^jXJV@-6R-uO{c67OO{RyNu*Mg^vFCC%7`oVExn1GjgFOJ6R(( zF>&e>PuF%Yol3_u;WhQlVSprwkgJPj!JN6OSwWdE&0xTf)Ch#N6jO6@b6J5cvf|0f z$#SV&KD*2=@4EuvjV`3G4D|TI^vo&sT+uD^^Rs@X)LeCx`}I}*wxttbD@N&Z5$`u-d_p?~`4Uh&L}%+uz@pkdP2}hD|d^t|5#IVfH4kfp;Xd2GG`yb`lWn zc|5z8OJ~-wQMX_Y=u(IK)9yPuh){W)pJki!n^ik?nbs=Wfh_e(YKosXaApep$gKNW zjBihdJ$tM?lhIp;%eGHmSo`6I7GiJ5we(*Qa&Ny1Pqp*FaEeZAPop8W_^~3sv#ye% z!DS`N{>GW$egsRC<%$U88^B=P=+;(0TibmjyB`w)cK+o|oSm@j{v{nx7<2PG@b8#x zHoZ5Ti%gt&1jNi-sp7w$db~-wVi3T?p@=GBDy*6vBCtaC$~EWr-B@&1^SwG(`O=k7 zBK)C`o)d405A5Kmf=U%e#KR;q>pboi21HLxNWcDdWjz;0SmO%#pn3DVMB(K|mH6r= z>W`iTanENjZTt16wL^M!zZb;zxQ9Z`l*0t(`zEDSO=BdP=bNdkdDNK0&YVlVA^=VI z2xCtcQ%OZS@BWSsZf|d|wOhLTtpok?5e|Kg7xGP1$vJgY#jLzUr_N#b_eHDTj9hv| zaOj|G%~$~|SF#Jin@or31HqE6&z~t8OD5j5-hQgn+GzP~^DHvqcmRVQ>mp*V-eY@_ z4bram$j8*Db$mu?_VN@Se?FGQ73Mv6;^)<&gUZY$&)a-mNO~WleSD@9RFmV6y|J0V zANMP9ll$IvPK^UQ`V!j1dSVv(yHG$pJe>+)SgOrPwK8*VP!xDvg)(d+a6NPSXW-x; zZw~4F{PelJ+%A?@j(}DF2GJVV6-GQ>%OzWdy2N^E@);ixi=Q2yR*1AwbxMSK)iBe~ zdHga_nx$!3md46^*kZG%Fr?8>e=$9lZbDsw{03TyVUjTL+~e6 z3^%i-NHP^20HAU~=zB@X-a)lAE&Kfsx1Cb=Pl=!m1jv5wyDv;NPJp4lMBe#Ji_;RI zAyy`V;ARz!*Zv^aBv!YwmNIP?&0ZR>92`G~1a}H4fME*w+fe;nWVkS*gK8K!IF2_G zfo1#%|IHU8CsQj|be;+8K#3scsDv6|@=7G60b~rKwN#9!NlTcd424F5PBc`wS}qKA z#lxN_gJkLPo<6<8B;mAQo5vksv11l6J0ku)9zhv%(d`}@Y!IxQlR&OH&qZ~*rN@7s zF<2G1`?e$TfHBkS{vu9~a9E>cG0uYJ%_*b2`@B&|Fqb+LpoS1)`RI?}7O^sH7@ zXh29DcZVGs6+P>xh?cl7FnIfSA*RrK0Y3%~D#7#3Q>psqC)goftG|Bz z^1ZzpPGa-fPvdPR6ZmN}uR!CpHIm6~z5P9fkGjtG&5UxR@Z3anJVd1|-x(xxe%SSd ziG+3mYw?4-Kl0xrgnkb--v&AA#k%|Fw~*0A97IA+8~cG8=d;LbJT%C{0vWT}NQMoB z7P>i<_U)V2Kw^0k+p!CPJ$dLI5p&|EN?~iicOxau$Qf3}0rc?5>i%UsV3xv;@+%e= zwi24?=j!)=2YIH{SFY#bW@)S*b-N*^kDy}D zflpe~)?8Mcb7^U5!34~e?vE1!X-XE72C)!Oz>*X&^rK_ODnRsg(72tT%p0zmxc{CH3Pc7a z93Vg}FTb^@OmVP~gap zd*y*Xut(QQz^{nI?uk{k6Y;J`RWcX)o3M(Du(ia~L@zn~{a4|? zCXzy-Y>KV#V07%WB&l!B+c8N4-)&Z1>Fj>@5NzO=tlhmLvT(ma`9NrEaXeBUb?!D< zxca>!m1W)JmG*cRb10`=oh}rBl{VR9! z&IwuxSbRPS3;Q@sez}J2WS8{4#Pg_){Sj3(NFAYF>-E9hp;((>?~SP81GF*uafNXi z)m|n>ed}+SP>ZQtB2O^!Z&_1_iN(jI}l|Ikl6Huf+vhBEb-sAO| z1vPkQW?SJ(t$XwERBD}{PetevRRi&HK zRAYh8d>dr&elzho?F4JIFzw$h>vnx*j7w(SyW0t*bsCzt^Eo1ff4;RIySk~PfnA=& zRH%E7+xwpVYS_Y%wm>w~|D)w(isq010RILD2H;EOnV^MttnlH!SPQS1n4p)W+P?3> z?@id(cLf|T8LCcck0ZlGm@p7oudQxs+z7VK#g|~}1^vZ2U!|T6t;}*wVz7xQ=t-hC zuG?ljctNIev%Hq|&QklMB&E#KP`xx+19q~L-`$?$v#Je5S#fp^KCiWK=T|JEWsUDt z;Nv=!uMyRaFa36)UQ3~++Ul>7&);fPs}@C(Tqqj!ZFFJOQW$d0%3rQtYz9T~H2gvs zEVB@DT8PFZZT--+O3U@o@-EZOLwCap@gL4A&jnN&rh22PsIm7X$ zcH1kn#3w_<13TsUcqnpvTddRo$=C4*ea=2GyHE?e7Wbo>-QDJUu;9tp9l3MearL+E zGih$BYq&FQu?pgy4wz+WRDi@H6O`%NA?aI>nc-%-W2Su3Hb?xSQu_cza$asP3@R&reSKS?@eseW z1@*D@s|}VwM*EtI6j!-TI7^0Ef8DmQTHC=|W0qU^Cy>d#pk!;;cP$pK)3nRzrLF_~x9a>P8f3C>HJx3DZGYl{&}_?J1?h1A!FmN*y|+%a zHj|Lm3I*^O0+a} z{KpN)h9p)eCnpv9bwsemL_l>l^&W?f&cR?bDiqr7EK$JGN&$yCSUrtnXk}GxS(_5%BAEML+Xk4~}3#tiA;hh7>K{c;1 zxB_2~@3=4lzVki+S>58ewv+^wsTkd?oLF-!)JMx6T$uA%0(r-etTnHe4Y1@2;d`dM z9}ru}F*$vu{#Pw03s0tCjx( zjV#olMA2bH-CvBptAUQ_!JUtnajBv_jHXVwuAG8=Tk$zvWI$Eq%Nmh@eE`Z(os&M2LuUpu*!!I_pRXut3AMEMWQ9z#+?t8Qsj`T&87<}1J1U<6Q69UmQCpTo8o z+=d3gPDjRb8F}m39f1kJQCr327rgvYx-I?I&3#R404y1?PUH~M8QKjeanhF80Mxp~ zx?13*gU9q1qk6Y(@+kBd%~EH&2R?>lJ-8Nl$G}vuG~?&&vHK}x5)>PC0c|GHa(P=G>#DSCIW8e!X8xe#C5o+wQQiqdGPf7iVHO$%Wk-4r5!xqRgpBvc(-%KW zRn&FgYF5oec^t22DX0%;;&7Y)K1jm7&$vysKH*2l(a+>Fn-6XsCxt3BcQ-chv9qH> zqVoz1>uhmdj!or7+e9HQqnLUNs+j?~q^S#9B*E1Bm<8dGA1$>2~dMi5c1S<;2_JwIumj6wekoF6d-E8vDEb$;X zco#NnK{qZ-i`&6gX9rolnwY*|JA6mVs-9Wl=fDV855vrYKI9JmB;eHnhqNxkG0PfW zUS7kBi)7moV`E*xyB<^o2?XB~HtY;OIdK+$g}50B>a#LXS%OFliC#1`G+0;^ejMR* zSY5B(o5%KixLzbD@a^IFpx=Ku{7t7_BwXwi4!^!GnAJ-4XRV%NhM$11M?>&Ya9L872j)t^lMtwCjRS%vj9Q^=7YI?l$$M#L`k!>+fh-ZC zu>VWG(Dwu*_%FV2a%V;+cTwR+fpG_S_G?TqZwUHVUo!la6>K(3tAiY7CjfP?)t@5Y zAcO(gxlnvWtk@!*t?2IIad~~seQ1e;gF{9{v<-uSR2kBBh=GgLirsFLV~@F8UlV8c z=3lOVxNQnJk9};x3G(Ci}Z7m_tZ4Gql(_J-R?d)8t?P6iPlzUPoEOT=uxxfv{w@frD5>{fM+k! z6}i$+7FTE5O^%Yd)#dDRh26H#b>6GEk!#M@VYlvTcYQXR&dZ!1)!&)*xq>$L`1-n> zMeSU2zhn)K=IE5}SQD0Rw3kWs^Y!umdGGJWMJCj6zA@9vcQ+GBvB7YRqEEK2*VgjJ zXTN-9F8P%*PUaK^|G)|GO4&a+sSv!C9iN=1G~mjL$}F!okRvGZ zSAwDa&;kE5%8X0EaJgT3Q`EDX5c3JQ;aT}A9Bt{z)-oNRE)j8Y^{M?44aD{lhbtMd zUt@NkE&^%IG`>{0Ke=3kxdQuHTYS#ImmI8T*B7M;CPAD@Rc^QS%kvHFSo?A>q zSDQYUTicNdR|T}2ZO?UL^S*i+X+3@G<6`uoZIRV2)cW?A6A8ktF4Tz$p zi&Po%y(Nr|jrH|??|pA|H_ALb+~hN4=VRjpoPUox4rtlFlAi8#b=RiGO}?K5pQt|% zMreRp`z!Jb$UF7Zy*oAFHPEs>FclF@`z~p}wDUh!+#K^e%j?zhf_!7V$`eRmK3?eXK=pcmxTUNifZVGZKU{6A}LB%vc<*L}fcGlyMLGw$z9ztu!KRjRiq#6<;q zQj;WIm);`=!GyY#^bZ5J)1L)+5rOIb-E>|r& z=Dw#d6{+ahW#1i{^;I7nlneW`Y{zGvJT^$BVbp(_#s5RAMMhmN%C%2RcylQBtB@A2 z-^Yb4gAOD48?UoA(b_@JfQ)Dx!Mq5*?r(LT#WGaMX4mv?kHo00Cu#bxx&{Wq%xvfH z_E4&NFoG3(Q~#{>Q>9iqc1jJ}v)&tP7s{-z8%mcx*XEW{Wi(dbpN4ywy>Ewc(A6!z zTPt-+*{uZgonuD*?&scOGP;VX4``sm;owXO@2pQlW@Tyq*7&doC+o2zH|A6+=@fW4 zB=tI6f7WQVn2^9at=4eQZ*w^Lc%bdceC@63(U;5PEeuk=r)_TC-8GCM+lb^5K_fDb z^VIye*kCnnvONb zx`bI5m41}z4@pZWNr?ZAO>U4}U7u!_7>YNP_2bq(BAR)Esn@$K+<3h$_Oz`}gz}$g z>((9aq~dA&|2e}9HZA*;OPWDPJ(mqAp?^?Yc|T>*xz~SRh$+_afl@07Z1EilLUkkW zE-rp{x?7EFK^q&gk~CjuYj~c>NJ+A0_CbK>QQ6!mf{PyZmNdL8Lq=rlYRqYFZ-Ui)dO@D& z8CtFTP(_N&5e;2-?%&F*G_1aJy^@65?|ZeCslFFh90f(g z*1p|u!5pfy4g#RJVyoWM4jUQtdM*zyf!A_caZtK=KIoUxOz#a_=G9CWBY(j){Ao>= zYuI@0M7?&@xK+h^XO{6Sg@>J*nwp2_BIT|3U8mU)m%N?H6s_0n#^ru`H|u<*mt09x zWqN#F2(Wsk=UxJ=?jxJ8-|b|>djvVvq0sI84~n}xrUz&KDCU`0V(9ZV9ISb_i-Q)n zh^`md(W9_gRJcuF|LlFZ4tf8J|B>UauUMxXgvQy@T*JL{k4cO3D&B`gMz(lgvSXW= znsV99onUJhTM0%c&=IIW$;rfCz%&DAHXEMQ_$)fOoHThg!IMv4bHMDErcy%Dmt0_6 zLhX&t1mIyq*4m$41nNeWAZK;8=w$rh<|e_=d3kK1TTjqtgpUA(EWj?KP2JT4e05%W z-2@ObiC0_uCqW*6t#oBlvOkg;^|UKN>l?OamAc=>DXRy;{9fLc4>BT<%UO1SCDQGJ zI~KhnR)5sZG!;uL@G_=0!LCt1ivp|`S%IY&Cn;)*iIo!n4TQ*Rm)u*S*ng3`>np8d zX|Y9`zNFX{ff0sK)+i}qV;j^?mh#QZ{=y?H_hm|qy=lK$2sinRrV{VKZg)dJYzZ*65apEOGi+9#i2*2Nz+n?7gsVdTYYRU&2dNX|Q)SWRCu6gnY3 zzn#XnEA_hSVecWi7{A$Ek_TJT0@#7K7Xf#&*w=AE!4A5Nrhk$0hfz|0C*|*bj7n{a zXlwNq22;81+P)ySw6w^jaB4NVA5vAT&UlhBBh_TCWMpI@1}#+%Ldu$#muJpNVy69l z<-mQ(3&ib}t&iU#8l8K#cjoLB5;o}ose>M!OGd{Bb4r3{&ELTWAA|K)7*vmJhSqZ! zuUq#ap!12+>#Yq4uLrx$>110u%e>-FsPPcc26!`79TzT|(30w)G-l#v+?H}!xNlz1 zf9<-SG^gGa$7yVA!pdPnXZxYKINu5;+$6vvf3s|2+j8!7PaIuq{_|>o>(y|M5^h7h zye8s{0e^iLfaGtx&`1^5hw=0#HDSgm+W%uXD59a1n_tVNimOv@$Iih4^u65h8(+QP zf(ZjhV*O_OKDV9ELv;fQ@$jr6jqwIaL6+|WXQGZHf8=iI{k1COz@b?mgZOxg^|QCj z2!k+5I82SFIw%}NnGo!MJD{qdw}e|$E3(r&19PXmz}ow;t3H?jn_VMWk^cGflUJPP z%iVQ!>WzSZQ9k!*p^qecKdW^%3Z`yh%K#WM>=qa6cOQ^(lRmf3tUGM=gUXQsiiR6dc@N_BJ0O_bYSripfUNvik8 zt1~)&Cnph6@Mp}EKZH}y(1F<(wtI|tr~x_5!u!8}u7HcnFQAbWQ{;k{ygo5tXZ1Lz zzIUuDT5&MAVWpPre?mJ7KbYJm*fW?AYyxYt#thfV#=ixE%Rv4AA`VczjBe?c!EX)c zfbi%D*i5BhE+wCS+&&8#kcWN5uyOdGRt1>Ms4*UA|-^@_dgKgwG{%8gSmVR(MFq|Txx4-&?2q@KL zw)7C2po@Li@wdcfo&-PaRTN+KxsDoL!q{V`jO}Y`ZhrFQNh+5O2^rZg4918+tl4J+ z!U*?^R5i*?si_A6Ie+6VZWO{a-f4ug-9iq6>P-@4j(n2F|CB?IrOy~1l7SYc)50&zqe%HXLU$dlDkJmpW=jXc1E?#8U zOh726Ng}xD=;(4J!u|_noQcIh*G4O2!tp0lEcG6J@-5Y?8!H`H&Wq5(HR+$e-!G!j99$yc@s=99#jUs z2>xA$U(Itm1edOEMT`F-IzBLd*uY=2)kopmnKeTZ6bJp?@oe1fjlwE#@NwB)##h-0 z!WQ%cvpQGG<%jz*F*Lj%W!=FJzfowQUF{!wY-R50e1(GDGD@7Vw)AcVQPQ`;P$qA$ zZc^UJ4K@M(9*Q|?01g&gbC0G?Nx+6C2$I7M9p1NIHSYmhK9pD_H>Gt!u|Q835!F@R_<= zX4}7vqKrQB5AIfg4#WmJwqoHgypkLw>~LBejZ*U{3haEK~6H%V0dqNFs*b^=fe15{gtA^sePcg)*<@+RyAnza4@* zj~i}2o%~Z!5#;EON>6tV<9V3r(H?VCx$TJZxB9xqi-BJnEbk)WlcIt9&k*&P*ITYX zB49FWy_c!@Y1Wz{-id8Sl^Rhs$CDagl`-pgc6IuZWwBpC-^T9JQd?b;Qfg_hx#;-4aiHo6q;yw5g~ek9I`b{OU#21m18v^C%=&3kHXV68MkAH=)S%9W3NY` zXvP-T>APR$#%3WkPPKx`C*qyRg_p4PO|lX7)LPBMi*nIm9e9wfq=Tw+Cfb+oY*YSvPHJ1;7$fTcf{r%K3lT_ z;(0-yi|^04-#uVUMXC`mT;6J*Fkfr#sV8Vg-eoo)zqEpSzPj+LqWt{xJ+e|QeZQg~ z4}+oFBtz&EhMeio1W=?>`j?gv7u5|b^3odB(c1mbrMP&db2-x(^kR5QBCkboVniJM z{KT<4(7u0q4Bt9j;mz=Z^Lq3&c)(MgMzA#xOiZYIk+MWi8bxWJ`72|WC)h9<<14W0 z?wPvcBfjrphS_+0r>NY1Kw%fAa}Fs30kvjfdce+IPhd3ssJ6!1Za}Ye!k=b#F>tAt zA>=JTD;>7l=OP2eEZ_evYWA=zQ=m{{yPD>_ak$p5;w}adXb~X zn3B=|gT1#7tLkmHMi-5UfYRL{EiGM2cXvvIG)RMpC`d>*(%s!iN;gP%cQ>2|{q1+} z{qFBOah-q8ABSssEf!2%bI$d|J?=5?ai35jq5DGw52&9FNQ>W4gWX2zA`JP7bwNkzD5aDzIkXVfaU1vom!>eX=SOj5y?I5_0d8Bs{fhx(e2yaFN(u5E&Q;W%_eG z;>QXM3}vXl-;ZF)OLV$WZYv~N*#sjkggCziCy$ol|MS~F2aiz6uMsRbZEQ#wN3qd1 z3e$eYJ9lpT!tms|@Dn{rN&oP&hSteuSvkSXf8K4H@RE@bkAdk;a=fC7hN5o8c6sCR z@nx{5!ph&%`6VXAphWn~qQ2t^-KEN!TY!z6>2DLPuNE$1?|aJ{rj* z^WEA_i<O13$0SXOQ$Iv+sh`!OLs<#<3Nj^!`4Ki$7tLLv+W)Zg;+z~CO*%{Xn zv*ngaf%!fpzQyq8$U9cE0UxC?3ye+};~!*H!tZ$Ax|gY_#8*jl6Py=&!pGTTYdedOuJ8+2(RK zkKHDu@0GI=wq8HFUs12i(av6Pc;M82XAM5v-;vO7roa%an8$w8PD1hSe8!&Y)2EtW zL7_x^vXXV0H)qNxrS2$jv)Zq+c9f$BkC)&le0fkq_&BF}nFlR4TNkRe#=KOF=WA1B zi(|_N_)hYD76-jUo*kW^)!yASl_V5XSpN)fMFf$WZqlsYLWGO$C~<@gV>}&P0K?%np-*j-wTOXYN?2j z*!2_~qzEK$pBm=jMEf z!L?N%*C?YwKRW*tAErA3q5RF}@4o|?0sT@^0MX+rD)Y7{e9B9YahCJqWRv+Jp=v|J zPV|g&aNZNE%Jbkp%WCwVZ!wz&zZZ)@pw#q&LD{$a6Xm{%uqKb^B{wf;UWf+?p+kcs!-EvCI&6gY#yNKX~ILeCuluq8hc>3uqSF`3nLzwtZoZ9422bA&wF>} zyyAifzWtdTBg?)Aa=sh+J*1@Xaa#k7qj({|XeH2LjoS$?h#>sW@3a zN$(rMRCH}5IP1WF<}i*0ss}Nj+pJRzE4mMiV0eay1(Uz9>+Nf}%FurfNp9h!*|JF5 zIs1DHNyMdmPoDj=l5jvhQ}Hpwx~$75@HoMqgTH%x+s6}sb@*13>+g@N6-b%3k^c3Y zNJuvKKmW6)I=!m2w6wYT)@Zg|05UFFaYb3#@x{f(;b9JUp*g!|04;0N&cI+q53RYf zo4@i4cB_~Mdnw^h@~tu9im4g&#fN9bqv%6$|I9OZHMPl#3N{{|`Y&I;%+Q(I{Agwh8!^cM#UHuf@ifbAvz^F>Zh-aj0rnZ+G8ZbJU_@7iARyioqx zP2c6d?QJOEGi1KzOOwf_XLD1u(MiTF1#(`2x}iT*O2zIs28I`z!ORNL#d2pz&(S8M z@44PMq-~a^hxQqAx;0c&G_iAawKEwh%0=OZWvhSjxYVN+u7G<>_8k$Tt*wnh%#REK zMJ{s5yih)UebV^&2~?BH&v9|%l9Tx@#&UobAUi9|&D9mE1!QppgM!T2ru*Vp*~Rby zYo2ec-$VH^udG6N;)`lV2WLnU=bptNRgg_`-4gHS1G35uRdP{porq=K)`aKBg5CKz z3cAquZpzP`O&j;=sN&|eIja(IyIR~9m%ZI=cuIf!cGzp$Z))w3`^t)n%KCP37jieW ze}(HWc=LGPZ?pj95fT!5{`@&a-^@&1QxgdSKE3cRE1CRU!^t9@s;Vjq3AYa)Zm%{{ zKtc<~r%0zhgjnEyI9<&BV&8hrw>Yu@?395$3zKRb$J7#2^-nVlH6pzGGjM-j+*rNw zb`KH0rS&MGH*>GU0XppcRc5oug_0&&q@R@q!lT}A816QnK9msOH^gXBeUCJz-g`KL z>zY$w$1CumFSrHF(H+wk`D_F1;N0;z`?_V_Q}jN2Gzq6mU)Xu zA0TMN#Cr1bC0=)K@P1GbkpPtO(b0OK^*`U6(Q^H>^KN|=P94GD3>k7lp7vN@$`9v6 z^?FuTkommssw7Ilo3lJL)$m2M2{)U)UTd>yCxUf-qDtb$rDJ5wb4(u-eyfh{VYe;L zvZQL=TLa<6p5JuU12S>V7Yh~TN*njF_5xMd0YfL3+}s5Li}!2z#RVd=db9gR!jmpZ zrFYtr^9hj)&AaVmMA=z*?o65n&JL#W?Z1O{JlN%s>lhb0imREVXie(z9pcrxbJCS` z@`dEE&tC1lKK@}nHvfds4C3}affKp$J~JT~B}y3WQ|d+3i-x7gmEo5dIUCz47q(G6TVI)s3L0F+b@ui|yQ`XmYW_;K&gH{wHhd3l9}h274!RVpJtQT>Q^^5E*b zpy8*AFt@PSX5D2aCoduqJKT~VZH{2<-iRNQkG=Zs836sB5ogqiw%7E^7UfwF|Cc}~ zt1hM!PX2NdmfDQY$ge#grI<~dpJ~mA)#zJY1nV^2?)?h=-lg3(y&duNSyWlp^N}XO6mc zLtIrwuOtvZ6rGx;x_X7pf)`MELxw8w;7|x&hk;uQ_7a0Oc-Ll8QBe~U6QyifFlm^A zkDD}p@|k>SM~b%_oa9Y=UHztI5N}aNPLfwkYPYv4VItq^prQSg4EGl69#K5!9-XU~ zba`kxG1G59dW`6;gug49!9cE*`CDV#mB~W6CY-i3W*KhQr>Gr!JSU%Fzs$k3oV|FW zo7fPa+#!Wfg#82}J<7k{_ss|eLOhA%NR~gePr#c+Y&GvnsJKXJXaWZR z{$M}w=X^8oi?9~j{~75VC#caVtoxxsAmIfM&zvOi*fLVwLv>lbbeX;71d4-?X(<0h z02QyiZ;!f&y>CwC+*z(=r zULqSs^eIfi1NOY9$nWf}aJl>9gCClV=-ApDaW@wnS4nkp@$zPxnF_U=u7zva=94KVp61h`;xj)7 zZPmT0a?k!UT$HY+*<*RcH0lJuiRLHwE6Tf{fBC19@710DXCQ~;;>13}ezZ$F|o;;p6A4zbH~ORHf4v6inwVG0PjUg9(EF3AYpl z%UL4$uZV6zSoy*QjL%qK+7k!a(zqxO{$`D% ziSk~UXL#|x6>LI0PKtAh0Kj^@xq#dR@z*FNj)=&21# zQk@K5K zy`0`VBc`ML)<{@)4DOYf=m7=X02?=5TjSNYwq44q`!}NzvYFwA=HhyS@)81ngNuMvHRDTzB*ID=_Pg%fP zLCtu!Gv_!ZPrcNkVIYKW`Vu{>GJ|)yUd%Em{zioTWs=GDzmAXlt@&?%kVKo!UBDw? zb2c?}hP__QjjyOuO9@;7r-q`u`$JyizIIw5xh)e7t6Q{vEvY(z6x0#;^(zengXi_> zRv4*>d`i4N21)?>ix=VH;U5{ZokpM_(kb`m~GBQ1Wl$Zn|>cT$!>|>hSh<}^a$+7<-5F0NLxo|bYx_AcULx< zw-!V*6U9u+Z7HQ=5p`x+3b(hnMcTE?tE*$QnU;0E=6;S4pQ<*yfGSIaEl?-LFcX2KE*jY<_G6=R0BL=v$7b_U~TXJ;co&d<;3v7SOe)fe!C znQ1>H$+y;!&YOG5PKVDX*>8zYsk66cBA#6WXU3Xo8Qq&J#63POTSV7inq-lwRJeyX zt63EsTE7iA{l%JmF>c0~{NiFJ?DAnPEIR5WO;x8HMr)Px7!pJcN(nSr-x(zDH(=bl zoHr?^z46gHkzO;}F$|}WC{x$5E3YE?F2207QeIXDS^QmUL`6kKNlCfV85|**2@FQ+ zo%fcHJ^;n*XqF6&&(TU(v&Yp72)GRDX5gLEn8yO52L=Y~i2@B!_#K4J6rBR03c!+YTBjkth zR{Wa1MVM@5m1U8sMU`XWjB`B4ex4Kp#H`*e1FVri%$S;*DswfbD6uv6{iv|#_ysI8 ztMg00pF`v0DrsY%8ROOUS*tmrJq1v-=t0ly=j6174SnAI>W<*(< zAhtK>)?#8}a&m(;H8uWEv7uYajC&#a%k5t|I5@JhvcSdm_V>d}p=eREkB6kvzy=}(VuU{)GE6dAIZs#IqXSH>->!zls;}a6-hF_=@dx~+W z5WN|#AuL#k-g$C?i?uJ(`3-kuW*}_dRyo@?(nNpZp#1sW9+&bE0e~U7dobvVp~&oR z585I)&-lXKXok$?4VfjvS#oRGHk#8FM5N6tsSNWiesOQ-XIs_kejND+2Oxgjrk(i;|_mbW5#+oUP z0@TovzJNk?f$_Q7oSlNp1Ri)Cv%UeMGh+`Lsy4X{ox|!6*f~lwaN6QL%(EHWZAwlv zp2z)-k$mrW>sE&A$dvuviqw`9THD`x_G{Elc-^onR=Z5X{isZd40B>=9aZki+4z`| z#))%DyS%k^wfNKT2|5*|1&(ZoTj_0g04>u`wJ3=&dYzF7qgx(iO}wko;wVRe}{?v@h7j5)u+>gzK<1Y>@cJ_8gxm@&q`)<5Y48!w2g=0GD!t8a}?A?wZJdXaPnt7)7S5f4%v(PDXd@KKiWp zur$d!>*3~jeN{H;u9e=#>bhQDl#{r2zb}0f`uT(Lh$OZHk7rcDWS@7#RSZ6e*3YAU z6PR#{aQnQ^exk}$-F~Yjuj@#?e}e%Wz+$zJ}VQ5(S)|AdB1Ev^G^Be1hnknm*1HO90wyYz<~> z?4Y2a03{q48oF`xf$aOs*ROHTiZ#>QJ381WUUqhN8g+%hK?DQ@U|{@083`dd@^#TJ zwb2!X5VOcVWjdXms-&#o`t6bKL9v-}%DG^CPT)8qA|e8c)W;}8-B_CR6o7}G+QRU# z-A+fQRE#J*0s=9Q+v)9<0|H9>=YW9P`r1N`a?K-_JFg?$$xfGFx9qp4Ldl=jBU=wb z_tgJVR?Qdxw~X(14=h?CV+ANj?WX#gL3X~a-7Te!_n6{&urb7xaQ_YC#IyBQ>wYq? zU(xTWMlqjJB2bl~Tz1ZW0`-y&MTTlIDsVG;Uhl1Qq4$2hwBj2)SLYGSKsv+}LRW)Y zTTL4!opN2Jwz`U_i(EGUpMD4+Ep>c#ySlm>fJ&SuJoNGhNYKl_-dtGcwv2ybbN_n1xb7YGne^Bjx?mY4xhb%dd9{*mA^6?WsPC z-2=^wGjx+7`?~Do+?iqXMt2iv2lsc{}}qqQ%^KOs-{IW_JmT0#nP~ z+sTBLt)bcMpDj!J$IMtHYee+SlC!F2U8VFfUfLLK1Pxv(mMO%L_Z#ic)`FQB$7Vhf zNu!h{n>4+?s-|A@dFTu5=bmpLAMeuR&B_(wc9M2N) z^HWe)C~+aT!PRl*O6Rq~Vj8lA-hebEnso2;dc}j{tyYUb`PbvARK$1LB6}bgt?ERg zmEibn%&b^1SBzT**Ke8xY-W>g`)b?Ob6sOmRjwv0j+qsX7TuTk7$5G%+s~Rg=4-J| zUJ4YR4zGw^xw_)wZashg6pF;-rw0V>Ye)Z{r~x};8Sfgt7#0b`(@DD0*hq<9qW1@m zudQ~_ztf6R-IFU|O5WyQMWFhQn1d9|FW9_!=-C8+EPk(C`RPg#&{VtDZtG5o{b z_E~9q*GDRZC6~X{65@zq4&91Wc*Ywt8XB7J?rxC4glT}!$Tq#bkt-9YDBIP?MMDb% zU}0M9Z}z-`|1hfioiK2V!vOwz^G%-F*Qu+kT3vF9g5&?7B!(w&Dn9>Y%i+v&px=~} z{KF8KA*QL^gvMu#qBV~o&9G~zSr4&l1^Y1Q*N47_uN+{-&!o%DY-_Hj<)T`TC{{y=F>IRG%3h5Yz+_pP@orXqQ?)f8_Ez{}&}spr%%+57mP z^d=A$u?bMbx_dMYO4XT}nKLsp8&l%#j68g8+C0me?V>{QGpV+hSj|9ysoL}z` zIRo_-s7ri`grwW-RhT80)6?RzHM9wEkSNNOF5`1$mFP(9LYyjxwL?C9F=C8{=YW27 zLc_+UX0n>D$TczctEsEY%FLXano8nzwZGV(E7a3N;!(__b{dvWzr4EAskQIu?#@+_ zoOFyCh2MfetOHh1tS4JXwsv-0Hiv#rO}XA(pKX^VaaYmn+FRJGT`>W?>&MEge6KN^uf`+?Og+TJAg?$$;314LJHU1g)T-}X?afqs z^XN;IS>$)-^=N+P5_lNhi&d^yuu$bN zi;SP-kgS{T?GKYRcsgVvIY}R*FMb)nxt2|sLFCz7HrGwOy}(~389rqhJ%sOk{d)E8csK#)L0z(^7-NfuhP|T&88^F z(z<06Yaz1l?Ok0Uw*%EuYSaa&7D-9TNAwdVd3JW@vNzqaa&&xhk}Z<}4_WC7^}af$ zggjn_7ciJ|S1|_8tD2hA1sdgnfq}r)h+e>8rpkI^VuFyzxo==#zQh0~Wf1%88_44F zvOU<~IZ_SNhI50~af|@~31*iB;47YzFxLOpEeZ>rMM)3dWpwY6tk{hvbVPn8)% zUfC_b!NtfM|2w> zbu|8AOWBggn8m@`6(*)4$_W1H<2G~)o)>fAFw?%+ zqpj=|)iWGSOk*RXQRk8EgKX}cg_rEj@=04!$Hr+nE;CX*z=e!FfZAQ$G-#+ z8cYioC`m0Mm+{Pe_QMW4HAbsXM@+=@87PNbyv10F2^hxtK6 z-?}90U=#2OWw%(>%kh1;${g{*L zZ9gH5bRU9Ejh&sjONj18ZGx%uiu{ypMA~RjIqI9@q!o2?mEIB*u84}9>bD!I3j|L} z4bX@arH26*LJmlK_494rSu6aB05QyK_RA0Wo?|gbnX$+M-<^LhEbF|JwZ^t3-D|gv z$di*Ax4!7+yih!^DcuPX+XFd^T*A-lV~LrN+tB164Dl32huAw?ni z0|Ofu5Tv`gfTRO(R~m{o6Yi|;7EK-9l6fWh)HHefaa;;jK2A*KM=&~hjJtq!E{!=Y z8wbf`0NI%l8rY`S`xqGs%toK1qA(#K*N{WAk{|68BATl35`#MlNEy%6;Q@G{{40{ID86SL)PstUC3m% zS=tLuN2W{MQy!XJ&b|cTd%6CKK~h`^ZCW(GuQ8koVKWGBnQZV@)3VV^8K}K!Md6JJ z8<#8EedS^Mb7Gh;(e0AHcGA?~4ffo&7!A^0mFY*S{~rbYKSDuu(RBGEpWx>K@X>|; z2hJS-BryJLec7KlL#OSCKR~Z|ssj_V$NN1|EBeONjJgwndNjBmm1VVQy2o)#uVdcuh=^G4^2S5!O+tQhuG`egZbrXHVRLO4if&N0~*^=UzLcD%oiq?ku?ju0ifv{Nenhf|cXB4$q=32~)Wg zZkK#P#Y}{&Va@At<^S(spG$hH`gwqAIvcf-&0!&P8G3R-*b4}S-srboxxb%%e<~ud zVU(}-KW!>MgQ+e$R{tt$+g?1hx+b=?Zvd~ ziknZQ#YorqxBDiV?uh>JYr^4>qFR3P0dAbTR|n0{1gvY$u794xXc$6X))QAQH4lau zpXeq&^Yz>EfPA>LO-uphb*Dg|_6mBT+I%w#G=4 zxU;xni3zyP>s@Rs4ti=_wayE_YL~4lwswG^M>VO$<7&jt=QtY1RwGthFyX zxfhd;2JCNNCT`0|gx-&)I>R<0<#UG>%GAMIR7@6+ktbz+6SDr3?&Z6nI|HT#+mbW9 z<#u(7n%tG|JiL*3y(yNj_3#h0gIpt1RIfLW(r+6YzI{9W^2Hy5)}+r5`OU zT6jdn%I4}R@6FZT>I~WUFC`bNeaM(0E=1zstB$Y zOF=ic&gKUSkUZ~2i3S-TfQfQE{}MfKc3|{?y&y=h2^#C(3+( zWO|4r;qvluf2kyKEuYV{f^1J;JnH1X<^+BQ=2jIG#TBj2ui>Mr2~qe859tc)P4mRm za4yL-?bWO;7xPehc*&nX8z+h1QqwfxA@J*&VSMhC`OGWR=|cK!Q!+}4a3U$C`r2yq z`ss;U(zD#W*>JQ{@Uo}bL@W_GvCanVn)f5yFEFgO1%Cei{hFWO3+$yxX9OtJ6cn%v z1lEN7wo9%4RF{x{XN4l-&G|8P{eSF*b*6?S&5+48K1}~hTcrHKXc+u3o*H9+HVcx_ zU*z2tA3-e#R4ii!DZH*_<>jmrRdK|G-4;C6-wXQ@wyTYF_!qafaB*?XO-!m>Pu9U+ z@1KrW(T1fVreS0jQiR8rf>b;LJ5!mJL^W<*A6h^cOzgt&gD%hw<3=~B70FAbR*|eD zR@7n1jnahD&2C5Ft1-44MPY`p>g-}1;(rw;xjqXP#eby_jE-Rt)0!4+V4;QX7;Y{v zXB*u?m0M|PDTp{k`>TzKK?&Jxos*@P*L{{u0=m; zFT{5dDK+l~^B1Rlx%NaE-Zfof3|gNeKIKTmb!rlUbALEo+}zweJUpzZr~s~Yn3$Lhx(zrG zzk7UV0zrC`*VIiQUzV#8jyNJBncpXQ2FKtr&xW7+~x zEi3lKgR7FR`?7}$!qrmu*nT?GV_BYUkLTv*f@=kVjp&TQ;tdv!h6d(tV29yfERvnw zl~Go!UDVV>l+bU&5*O^@v2*CUy3AOY_qtX=hIBl2Ylb;=Vb7n zNhfm&QO#w_{ERHUvwr1UYp1uYOgy-dwG`1t926)&9nj&$rT%6PXkN4H23#NuOP)wQ&E zcz6aE_`3W1$2&3;K&~5jle{p9_VsKY6g{opkjK9yP1aYhK?P)oxDAg8x1dP#G#x?V ze9-#v5P_bF*aL$papSsF21_`_LcidT1n;VQD@_GgSB32@Oc>-```i@D!Qkr^FJJjs z?~M&Xsk+;%@%PhBTE%d;F>21mbq@`?nV1m=t}_aIjoyc5#vJFG*WFFmXHUj~IHs-!1<5zkO?4f)lGl4f3<4j9y>+Hb51h_dy*+qQr=ezTb^?rVhnZ^Nl21Qq2a{f~~ z6(K?ZcoIHMraRH9lZl|=Ha^cT4cy;-nvKpOM#0JqZP&dk0sIWLuAw+hOAd7K;0>?t z-#v<)3BPW=Z7ox~ST>m{;pdfPEuQE-hl1CvP7O$&S$`%{?7d}@Cz6QW@UPO>kx;7J_9_JW$XRAM!##jfbK)H8M-$e=8HJdh4xF(@< zo+FF`dQl2QKi`-X9U`;CqI6C7VwCaU?!!ps2|S~h)#m zg`XBIDZ=G4uehi8jiwm+_;kGDdEq`)jf#$yg)jvRr^~+t7E1jlJEh0~?e6XEQ5tqX zaw~B@KlML+s4+D$p|LVXj&j}b;%+n?;J)Z9hR$+!x#DnJ-btz@7nS(JW?tO{qP(WX z+S?jK4F)AC-1WQqX}s&}*?UjD-~DPY%2?R%H-p%ZBXCur{GL{Y?3ea5bRA-r_L9}L zdtNQvq$lb&i^|b^O$PObo%d1b)~e#lC%Wv_PL=JsTQe>+M0uPIMo7d7<8Dq%AMY-4 zUJoPJMqpFN_H0BQ65HIbi6(#fL|4kyNBP+i5`Xr(H}PO)A0xUq@WRHG*CiRr%^TsT zbMkrH_sW4I-!?|9p6TUHBt*nlpeF+>YXY|uEd=DX%r^#-zyrv%6fG<)?CeT;8A!d~ z<^t9P5Rp?=)-yQ@>8qn1&Ij|4gD$=wD7WPAFOJuGk#xXx?mcMr^6~=gDlZXg7{@xy zUtWclfnj`j7-Z%^0sb8T-a}}3#{B*!Q4L7Lurr=V9oRh1yN4$y^*}Or(EJcmH7YsA zJZ{imTMVpEV)73*H*3z8M>3_z{rvnw!BTpETML6=2<$*-=Vk#ws2LBL*nN9qX3NF;(O{k1YD7(nvs1h8S3Ryl z7wpiE0~>>oLcumt0qxEO-_hwd4l5EwzVG&a;JsPlXFS!MOcw}5c+&hhd4}oWp0|-M z!A$<8_CNtup}8wbWfr66j=f3YLb2uTpZgo zb5U1US9EGw$L;0z^^z^ecoi|J=F;>Pxvoj4+HbHV|DDHBhLGeGXl5_jCw?r9;P@P} zHR?W4F1s&!T$_I!;q>o3$Z8aEVI_89J)FexK{DTA+vl8L<92Xa`<=)T15xexvmSqP zt5due;<29elPvT@<6*|DL>Tk_g@N-={$1zwO)TDY0ac^co^l)36XNu7U1Z2vd{iE& z_`fPkqrv+91hN$5IFw*fP7dbflPjQlxW7kNd&6MF5_i0{L=3<5H|T$)GL#r+-5*(r zhpGp=9%`B`-Tl#Yf#iRp`vMZSYuVgZ)Qvw8J|S_`rV}q=({2+)aAU6fB$R4_2KDCX z$4P&_gv+I3o&>R4`OZU=&32J6lUP}WRjvV`bsq&v;)=9xC#x2_;c*X9fKS@mDzDp{ zI=U~}*e6o1#eU{0XH>gamxd+WxID~*f|F&YiBT6&j%A!(-4Crl=&VPjD zqWnY%ke%KqB-pEGc|RZRX-O7CUmIzAba@0C!_n(CInJsJ+%Bua&(;=Ne-t33bwXED zABXbR8NzBNi^>twF+Z$PdVC7dGjFT0gwn~ST)9zNbp5L#U8OiY)X&Vwa6DK#J~ozp z)7~14U;T+G78OEPTJyEAxt~S#PA{@L({s24z6F<6NP zue0ml!$v|8enSuJ&#&AXk#MS%$AbQF3uzo>r+3g286gHv5QLqFxXi z8#^*Gk}a2lg3AKSo*TEWw4{PKjbcu){kxXoc{H;GH78pIxT20D# z7E0GwyCXnO4R}1uB?Fa~bh!1pm{{5Lfb~1e6W{AS^%&F-Zf-zU8y^=3dNy;BlZ!0n z!0(m3oluy-iBHdm@6T?{2cbrx`38s6%s%;!W`$?5isX8#7k(H70yuB=~tYn`?fmx^)@9xRd2Miu74ziAp z4j&&MRaF0)J>WimTX95mD-Rp#1Pqd6y9}$G_@AZBt`7ci8amy>d8@|K`|d0;ebTdo zVl-i=)0uSzM;%rBR_?%>8jGg{Y~uNYFz_sHi@7tpE=3?tI4PjLrRcRRR*rYAUO!7%q&Bcdg%YDn;ehAn?pR#v3IUxQrV*-C?Raj z%%xRT&d$!s&Fo~m$CVb)4uKvh)B)N#K*IpN2lS)NM?_dSFNNg6`jc?# zucv@e1md*gQl*F4tUO{Bj5_(((^ww_x~j*o1n-;+77)_X(yXkkEOayN9GKaEI|GyW zKhb6nzK)S~wy%T7_&Xk=P*XFrmjb~g;7-btJ7!YqX302sa7i;li4CFpJdghVd3CDj$X^5nGa|2x8fs4&Khe8zRBW6yJ|^;`%+mT_xfc<0{u z9r2ds_mI(FcB=3k<*I)OgB3|u;^&y|YZJ9JCl~h#&h}r7Dznl8+^;7*N&g27D4Kuz zcEPDL1Ssnbrt;nG%B|IjiS7*>}jYW99;0^&@6VJL{s+=VW6M5D|P z0q^_S-rlE=B}J<)Bc0B}&ZNT#^EZNKW}ATE0^x0Ci^V5VZ-o4A52SvMpPOd&p~m$< zS9)cBjDa>SOQ#^#>C)!^3dtV`cZ}Y@Z#th%98ULazIR({sC8&gWHnmeNRdloF$RJJ zUte@fU2*FTZ}Nk!=%Q{@vLGSAJCDU-G(FZ`UVZCnTv?8idf~0LFL3WU z^TGywyI%U;T&=nV&jbF|){N+s(>cM;%}s!e#+WB*_Jyx0(}OlE5Y^C0c}@p3zAAuj zIku_1*cmhII==>*rSeSaYHh@{7V|j2u@_S&^ zh^YD6CB6E2rB|w^W#cedz=n91HSnB(a(>aaVF!iCN1|rLGdxIjK>%SJLe-R zcG{WP14yI!{(1*w8n_5#(XB3yoHF@(7jvZ4{>T$=0wpu;Yp+8>LbR9|b?VqyfJ4{s z;dD?&#$!vu!OPn>*Kge)KYrGs@?Qvs1vpEUpV9w8FQhKDsCkXeKzwqBl|8ZlFPVlT z#;izUN{gEopqPY0{2aGaBB94HhvUFZeqi#65M8i%aJT}tLry_wKCjGc0bMTP`Q^kk zaKlL5VRIeai*fNzo{y4Ydx^nln)Q`aY!A_0Yw!OpX0XJ^g+OS2Nz#|2;F?GvKt5*m zra||xu#sLmIp6bxLT&x=oX`p77* zBnIgx!~$w}l(x-=#`2rd{}hrZJF!N& zK$CL1@^j8|BX|euFV3)#k-%_QsD@f-asaJp0{8chd8^+IdmBSWZbwH=lkC-RE-Xu> zRd0AqZF2kg2pTxtd-Rxsh~7IjB$6w?J-Fuc{*AT!e$M9J#vB?~BAi0Qes1vFN54XI zG~$mg^Qa@3MPwELV~&nM039C5idGB2!{w zzJDirUWx{3#qL9Zd{-}6*2SEdA?sCYvU`_%Cj3T*9R1;Y4ie@4cp{(d56z19e`US5 zCFyP@clP79hcRVjhJ&Q3KtP3t2HG z&^&EbeKJXRG_~f7v;%d>h`OtMp=zB6Cv$YJfUV+tKiYX4D5$eE)W-bfvr>K082gDL za9->%Bq|0%(5~U`E!XptneJ*3hzY$Y)@$Tn_^Pd{woM%qP z9unb^;uHXvg1AST%E4#S=k)ZO_k#pLBhiHPFwoG7ii+|#e{=j_CJ>rHq7A?a|JK>r z*_rACWr4^ZZ_;J)UQ*k(Per7W$_|8q_;WGVB>G2ASc! zseCIvZI3ID+7kuk-Pe6M;Z3;5Pi zBZ{e-t1mED!(3u@O=l)0E=~gNlanbv>fl$VjI3i3Ij>u2Bi@X2J+?0#51h}>ew^x^ z;{L1BT5-Ra7G^=O8JFn+Ixp-yDC&_WW5AT+yhmH8-9RKdAn_MA-&l{JVZU2TI9iqr z*CRdYXu-~<+wL$Og&p&=U(5T(v<>^O8fiS*eEU4Vl5h;w@H9z9WeFtOcW=qez2e>t zEhcO96(#Yw>;v;itT{W^!|Y{AMR`&>ED0@<$WB6AQtF*h6;^)sO!PXPtcP1Uj!?ZY zXXnCD8c;bjRJy&z>eUb`#*?+YPaYVsnUyl2?Rlv&;14w`I`H&=6cKASd7}(ix4P=T z?!x}()C_7VH!gu3hMm-KNh-tRYlSo!UBs^sWadQ8WW~z#>C7_y-+Hv0GrU=v6LfeS zjEZ;<8PHTM94|XI|0cwuZOH&DzAwY#02@JLpXr+4q7HZB3HMrd73dte_J~inqp?CaQbS&SF)m z++f_Nf->LLM$)7A2Ez8hHYqor6Hbj)Kc}kpJDagL`ccB;ij23$Sx_87TKL?0`*(jb zdtU*qYUY1b5zAUrM^Hcn*LIqt?>tUaw(`9Vt25D)&SJ4rJXtTBVJ4HY`R^=e;1a^R zlj2ki$L+pQ;4={A|1YbEr-`nXPZ*=4n9>LJasOOP6eBQ)(9|f|<4NG4z4fHb`2Zfe zR1zCsld+0e+)MN84rX|T0UD=%~kFNmkSO%?S2wyGxC5gw@NU5WZ%>Vi3Th|k@( zy_?ThAw&IQj9lUN)LGfS|2=s<4}Vsr-~B&|e)(JH^P@R}5kBv6yPWJ+bciX=H`J8% zh=jH}<8cl2w3tk6S2_?lnLjFO{uLk-Q^6J$+`)(=6`4L2hYW2ERWIK@D0YV!S^c7> z#DtJAHuO)PyfT4|GLmhrUl(;&5?EN6O#jaUV$Mc(kfrA`POJ`e@E9(BbVLBtJ+EW^ zas(HdJ85aYP4_AemFX%9JaBm>G*d6v@C_7l-%wFHnkkbjuFekUuv`c&58{Wu*S@~6 zaX*##N>te?cMYsQZcyM>Jp{7y_gb7f_8fbPI(FS?K^zy@a5*EB?|iC0FJCWj}b zgo3=oq{M^`lJt3PlyGnuMYF7l!tVb0%&&u-oEWjvdzy_ubeZ>6;!4mzOIt|+kr#UY(m(XG`;+cYY8L7L+C=5QuW81a8#BQI~{=ouNQUShz&G~yZTj9Lr* zSD%&F7-+mxSJ)ozYtzGX`B|FT7$fcl3wXvq6TJDE{eUwWD2~9M!)r9UIcB;O z3&lV9emlPWDXpw-nr(m0P@EjHNpkyH7VC-4&+CQD z#%Rh^e>_L^=Vx@qa?uKo5JEAoCL2FI^C$erb#-AX>7!pZQEl6kw;+VOGcF&IMy&AS z?2_`^*lBb#^?8(Ml_w3L@sNvacp1SQ#=L>?@6$W#RAW~O7w6#Ir=YKIXohXfyfQvs z9aLr(=H|lo8wPrMO-4Xgblf6EcyO@K%R9itNRIgo<#hsKAP!U}vLlZiW>Gk4+hgKb z%F?GGe$+i#Uh`?LU#>pqOK-_2cII)8=y{;K*+rn3xBDK~WorXUb-lsf*UWvky1?Zp zyA%UJf?ieDd`XrD1~0STg88|c+zP17HEZkosDdPJvgoraSz>Jga(yKqPp5uOqGTTJU}W&VCxfYX?gjO zcd?xR2P@vo3+jW}(J7NB2W7qYbvB~>EnB3DO4wTT-#0V$P*EM4oMH)KzDFz#9`f>F zetl<7fI(Dran?NoRc_4<){l5BXzza?J$4PZ8VC$kR4)`A;+c8Twy_I)%vOJUT5sfEC602XUmI zDYNVaz2sN&PW|iW!v3)Sic9hk=odjA4JBo2FO$U$@<1{Yl6O!jkp2z=$Jn3jh2Oq` zd_v&AoiAUYjs+n|&M*+U?v)EPC_oUL{yWAYmQxGxH2Z)%_YEIR^z4jNyM%S2>p4|r z^FT~3o2ZwXpSFez8Fsq1S^E9k=`hustfMiy{*n^)lKO$#`rjy`$66zZF+ePk+VR`Wh!6puX}M_hPI8Q}A#msateUDCz0l zK{n!6=5^RD{#8G#XG)qD8Mtp$j#r@6u7c~p-AHa675R#f*X7I;Jex6hK3v=T zJ>LR!uK?YhqZUK0>W@YMe?l_kP7NST12!p8{_ajz!R0~U=ljQ!OI`x#%!gHCE(CVV zymlrTm7t|2Fx((~dah`pUS+9eZM_GaSd6<9Dh#_~06o~IW-2Hs2uz1T@LSFxM&p7o zE6i6YvKW=9z8cf|deO9G3p`~?I4Tqqe#G8!XPEkW!q32FIW`0r28!nObs?9w2#;Lg z6kRGCnz?GzO8GgsiMWcx&HFbJ2^6!CIl#-;*1iP+%L(*Qa6Q1!1X|JUQOjVKNf2Yw zx4$ATI$28jAwVyK`v=*8CL%p|RZkU|SCf)ng8(;RVAX@`F*m2%B5p2VW%{7C+LRBG zF6UCktRt`r2+bjH*I1%M-mbIM#C%g)@5)MW{Bqc1Y!6z=M|*o6#&*z0pNgSU9Tl01 zSynCKo7`(J-dvW9#d~W}eSW=4b$02@9DzrdtRwQP*p^3cd;HUw6g|P_aES7Ob^gj- z-_1Go0tHf1Ey|_7a(1Umt1@n(B_{&A*e2N!&1F@Va;OA0dSm{cx7M0~!$&uj6A@7gYYpfXJ2MCLeMqALFQCn{v$KQ#F#%r^M@b~(N(>*XY;U|h z{%mzM>i*EZ!8JqbdL?lgfy`G~T#+VS%%%}NHukm=$EeRdA1tdvwx^P4Z#Ju@e(Xqc zil-IRF3l}_jenL=Qb=TH(bUs@c1iTUa5e#Dx$@mj`*L>|);(6q(w9)|ZhW${eyrXR zpD6T{K54m?Te#r(ZsA;L{a1%2v-Q_O&#X-;V;SyUOvRFsg%?D0d`?XUCwZQ!1Vld6AlJK4%XKG0Rg-}r@(v&wAoN76cDR# zjhEqyuzJP8e+#^yjg4-IW-EOwp~7;6>4Q+qX1|@^^&>$hZ=}0SbTnjn9Y!(Hu2Yy3 zkMwIh!1Sd=u&Mr`~CMe@Nf9w|=zqY0(!+xJ13eGq^SJ$@;x= z{&1SN<1mtX=zS{+(^T&#wDy6t&TgFw8|%ep--u@OXh}Gmqi;_|hYX&2O%|m0_4l0R_ z{kBdm8!kr24zCz{xfZ%xG;mv4Oc|l|^%#xq!#Ch}3}rb#Qtq!{X|$G158{ zWAKFjj-GQowYk>_y0k|p;r`O0d0s)OlGw%J;Ex|K#Zs(L#?H>p4Q>|@1_p*X(0T>; zZu3#BNgwR_+!)nk(Y zJEvmN$(~-cBSdA>T3q#bGC3vI{c<L0fJ*`T4=qs2~>w@h3x$n_TLy`HH0F zpH4>eD%?q>Y|*;tJwG%2Hix86x=QoUwy{nkGj*5qb%e?3J#!%)c_YIAA2r zBI@RiS8{yK4&Jevv0BM14rz`L)R??r9P^i61GBBS>?6eH)EFDz3wJ{qn3qH<2rGVR z9mQ2U`X7X5oI7O3647~!%~qN1W*)R4ztoIDAbn#!V)gADQ*Qg!4vp&zEy` z8WLSIxto}j1O{0UT_AD>0zk&__kb}2n3Eoud|9F!zCyAhy8H{H zfA*{Nyr^6W;tI{Qd#t+s-=>}?$KHAB=JHW@^;yWnFHNbRoSnePR@m*t{M}I)Ddb+S zLX5K%AyO9td0wSA2=PcQ*-VTpAlJNI^95<2jR8@N+TUBp95oT2pn56#b@ZLn5pW!c;uEohpL!s| z0kg47uSY z5!+YhBDz;zW{KvPz>yl|}O0pam82zC==N znSf183~f&DXPq;?<$>|VRYJMTPUIQdW!GNpsK}$Wes4ToHrjNQK0;S&BV||Tdt5}K za+9BS)jj79=_3608U-Tcz9_`E%VS1t6fSDD?A}HZ=6D(L`=lb8MyOZ|g~$xv9at`d zjhk0iaN0sg(^7umz_rL1(Tbba#+Rdlh7gWfp)%wsrEbpibT~6ZWehRPTxAXKyfWjuYH(qy7sH}&80|fn`5nr2nyV+RX zhCVOTLOfbwfOJMcFJ^vu?j%djb-6gu3J49#^jekX>tE=D%v!WOnUX{N#ZRM!e{Y>cqT@qa7VzoU)&Hp`VME{;_{ z{NeE(z>($R;sVwQz-*E(w0$1@si*!7+1b^(zi*=tBgbq!$F{|ImZc)7Wk+rG;~8t2 zik&k7{s(o(pkzVOUq{MFm+U&BCpnA2X?K8P1OY4Dk- z#Bp8(Z{8%Q&V|n6Jvc^Rq>o^{{uUV^u%4Vv8}wSVIN`Wcpq_QEU?X2j%MQDZu#N2W zhN};vbnefm2`z|NK$m3mW~jrMLE@$aqxG9NZ**6CLg_^j_KPtxS&S?T((93*-{FDC zBnu%M)+Bj_4f|77_t($X?VaDk2Nz_af5r%5ifKy4=fM= zW@<7A&!jm3#izk@?xH@`!oJ;zepQRRMH8Am=F7^o31Bd{vbN^O6RLI{6ez%*1{iJd zlHhxl+k6Jjrjk-pH0Y2T9`c|MKknFA@ks((w4oYXjlt`F0RcrBZ_F<6s*zQ}?}002jsY=oBRRqTB@(j zQzkI-3fJwmwFxR!^_R}s)DZh$gUHCr5-ehHnSn2D8mhqXYV+ksvt!z8JYN$1!^eGn z;Lpzx-_8q_!a;@gB9DUQu$5QKUs3D+h>}c&W%7271BU`dU7y&csc6>FySD=BtX4t4 zv&Jis3w`;S+2XiXw+hqvUTI;KNItT()m?-cOpB1bBZaJCo19ra8O9=-a_gTCMGMNl zaozs@hTV7Sa=o{mOh{UT3nig&Y$*D)@Wc+jo5}M-L1zb{lC2b@HCgeSo5vv?uCFEO zU?wm`Tv#OlY+GZyupxjd12j+f)e?Y+s+G|j$s$}zkYpd=LCxgaBv^)j!2eaxaQRh45LN6g-`tnyUIo6$o0!Vx$B#HzETRSG`!jS{`TV})TL_)od+$EP~qHD zRt0H@N_$+n*=DWqr{JTE(pD%$QFSU}9B`^D8wm`A(cVmQT<^SJ_nqpDoX8G-PE78p zw5N(M99EPqpBZ_jps+g%r-xj-b}doJHXAQyC4V)@XDh-_pM8a5zHrHKnBxH5i^^jQvTG&pIaE5ypDy6BxUAd`rTx`hnEo;wO#CC-f z7t$AVvA2tCA*wRY@ZyZ8CP&o{Vm|moFe168^s9Jqb9eWiT7O4%hHX zY|GT_g~~*ltsa?tMGQ!nD}!qCo4W;Fxh93a(Db89oRr=aUc=4;wVMq_u1C%@=XwvY zu3aL!w-~>tr!(HY>&f63TdMoHD%edb&ZNPtC~;h~KM>h-lSgQ{Db<*6CR!@lTfwK^w zXYPT~sA^C#FNf;fuoMw`de%mtLKp`QHEK9`fT%q+@w$TS{~OC2;!izOZko3p$~-DN ztt}lday7P!dzB=b$d%ufYkGAl?Ee8Kq==g;(Z`0|R5w@rBaMOj`7PQHzST)K9?hOG zDVT>F|0^B05)+Y;`J)AzHJF>Im1w{luf}nUFol8i1|B=RR6A5QB+s@|(lU(mvFplQ zn*^UE&IHu+$=MfXwdm8;JbL{cXJcjVTKFU}E%}p1pG9iosg_`M*?Oq;`58`~jiz^9 zOERmZa(}7dOM2wk@n%i+!~P3HHkB&n*`C89(LvRd)E!t&$#%jF`2+|&pF(x6S{PZt!%^x z&gTC(nVoo>b5F9Utv0b9? zjz@~CIOL$S>^|E)w`vqk`qZ>}{}!YXR`UJ(cV9yKNA8#3?*&qzt=AWT>3M!Ar$*&r z3t?M@9$e@%^Xh{yk1Vi^+WVHyDMs20>HmQ1)`Ne6YqST?6$BgFHC5|VwO}gBl~m(s zR6UZn*DZBx2)jk_db`lKWxg7~M36-d9w^lBk56uWX_^19Gu@!7tr8O(OG8pd1OZ-A z_z<>=TB@O(Fy0sO73m~(!}3)-l%iJ7aqJgaobfxGMqIE$yR*br8MN#-rGBD~*7Y30z`wHrb7LF|WQ z4x&Nq_z3HVi|mn1h{0?LMASwhhIE8!1(cbXf{YB?VFwJ!7BvJd^OV@U7?Y#Kq#s8U zQBjS@FvSk6A7*OjxQ~xsi_*?{a3uT?5%DJ+<(i4%TDH<|!42_%f%p8dlIq-Czg8H* z4XUWGUmY*tG;GnG8QxL=W~-=>JJVmGW}<6O-Ob41yV7s3L6hR#1Pd_MnI n^Weq6@=pfIf4^|Q?Ep=9*Oo3I*{BeRjUmz!@-K^C=z9GZ`&Tv~ diff --git a/screenshots/LCDTB4.png b/screenshots/LCDTB4.png deleted file mode 100644 index e6f6548d9f1f29d50f473ee3d7f28dfa463490b8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 44210 zcmce;1yo$!nk`y{1QIkra0?zhxI=IEm~cqacC2h=lVJm7gV({2Dk#YN@K}B4%%E zYij2L{0ahzIhh)|n3}wHw{)?1EhVF%Y{FuS3Fr13 zKzkh?#>5a#$?`eZ($11a3u?%aP?vqa(^u@!)xE}*$irw`RwVCSFgP2d`=pJ1_Cgs^ zLfpY5iSVZ{-^K-USf|l1V~oQ)U47jS^M22Mz6;Ovq?_G{*}j0^TDX=d+Eshf;#x`m z#yP;i77?DZuneE%J&3|MEvND`UU-6}A9yQLw3N;Vdy)%j=hl z7A?uRYqCB>+y&l@YEH8lzX=^kjFidz$U%2ycxO@wMo&SXsgXn=pYJu1ucJsPoQ~YC4^Lkti6?7FGiH(F+QeG#b z`{EtxX0#yTS-QNy!>@k3H7Q8tO>NCD1lFP7WUCaH!Cj2~km3E^-a9NUQqVgZgUF$> zQQ=KR>|Bp9b-1Ku7j=rXNF<$M5|&=_hk=UGA+Y{!ayvBpE&ehrwueHyCdO}8JcT>1 zTl7oG@CM>mJJzsk2CLLO58pssd+kSg;_WLkSFi#W)C4BMdWqfgk}9)o=ZzhCo%&DM za)vBuThbpblIFyc0tb^uyYK8%ij{=$#hNRrx0U-C3iEn`a(iju;%$c}I9%iFw4(uXn9XvwSnIIv-*}1J_2Yl zKyXO7*KFRqe@MVf+!02ZPLknh4hgx8+`On5)xQ2~CO+-p ztnMi41$~e?M$;QID;8SVzuCm(yL5uC%K zvNdcO=0<}({8{UVTUe%$#W1&*x|}(o_Az_tAkuHkn-#?P17Uvc@}S(D!Jxp?Kg5*| zpfsLQ8~rs{#mI$}$>W%hBC?Abv&}<82!xM3Rw*b2q{LgbySiV(n@!mwBJ{zc&gO*kwqDKb|2bTX^zf(pR{h9u?X`%yk}K8 zA%D{%uj;se8_YnjXC`phlyJ{-Wm_@4U$PnYMOs|1xu7Hb)ekWhp4exRpwwy+b>mp? zx!{}%xUvtWDd#T@+K;>8)TUP4CC77G>$`skGa-92Jf`0&WJLMmoos(*sNWCcj35>u z1hCj7aEIB2UDIR>pZ(Al7dPq8jD)Mi1f4^(IR)wt>D>if(W#?fYIo6{n03FMPf>kM zg&@{Cya4}rJ}2bS#B=A)>zg1;f;Gk1J9nx~W15(hScg-Jmd z{Q1hn#Z`SGomf<$1?8b~*T!w0bA^LxC#j7h%k;qRkLz|Zm%rjXD*e6@oKYz*n<}n{ z_d)lq)}6&PESrk*nQx-Bx7P#Gu3 zcJ|LYPz^8K$%XpT6v*L9EN~pIOw*EKF4QC3H9FS4=nb^Bjd(&gfA?wuU;kF2Qbp8@ z-%oCP3LjiI=Of)(V_qR3yz_U-{k(|A1bTFjPrgZjDG1;b$o2?x1m`c@F?BCrI+u1iKR4tS|A*7?T+ERvLFJj-O6U=w$X*# z&0!LA-`B1OBz&Ya(oGh>AmlDmQWZN&gTNRPM(LZ|skq7J=iBzkHLCezJV!OzB2)}i zHTpC&^y0&DmBx!MR@Vd`Cda3WV{PwQDP$D!b34WKJF-5SjOS?4P0iG!fFL@c zL09i(<^ny*_b=t*z0C|nr@QXzzK)-m2kp<+UX;vuZn*D1PvaE+C?Io_!x;d z#WW(LZ_j1a!3L*{B_f!z4OZ@M?6u{_bGPHyG^p64LgI0nkgMCvL}3j0jKg`pcVqjU z#f{5PAJk!msE=pK8O!HneJ)_5vzfD5J0c3^Uss>xS!i@_Z?nSmUAI=Xs?cK`sK*_s zX68#DF0U4R&SrzQL`<}7kQ@(FvZin9k=+RKh=*&7gY$c9vVT{sRf1V(S^D9}JDD2| zPd`4qSEysuW&|)*aeMVcdN&G9PTa{HO#W_bc*}JNDlQ5}sHo0P(SShjnZo0^Pzht{#uzUK5sK~%9ow1M-OkAY!g2C7>cW94$qOu;!-QH>HElShV* z_zcunWY!%zsVH8wbR9#Uce*KLtY_s%lhTuVa+3LCt{#Jk`)mgdhjPTp!ETk6!<%ZZ_}7LPnfoxkt^*hLf~3P{r)CGC2ECRPINl)ye<5>~ zx@7Z)#vA&^+h*v!?_MEXj!eN;ZSUS{4Hq${Gf&Q=f{gY2MYk4*t8qeGK}+jJ#P0X1 zi?DPWN~233vJzk|)u`A*aHrLB%De^*(f<*DVVZ2^ArpC<>%B4`DinvH3{xQy`R`Q+ z^wHY{+3%|u?D{-~+)I>~MrypRqwC!Y8xoAa&F@{`ZR}al44CygW4f&2U@2|mQ?q+v zdhe`7p)*YBE=#s7kSyqSQ+Qx35IjkOe5Nou^MAPZt!`+{>r96EYEQhwjQ)dz~6WUYfv@Z+&(x!C3(xM_6xj*~)19;x!V+%Ic)bYpj=RpmV zn!0OI!69`IpA8JhT}i#oHGNm=$!2yk%K0zya?eiJabj8phHqyQ6Awt@@UI1VytP&b zaD?lOC@Gg=NRrj|B!hVdak1#sM=$#HVW&p=S-yo6+p~Fmh`MrEM5`g@MBtn9%QGrj_D)!vC9B3`I7rZ|`OU>Wij3u%& z=x8-re=_mb+82>($0~Cg_Wor3>aWeQyYO^(7(NZ%7Ja-y+QVY1h%Ve9La6=?W^U<3I@cR?gn10zbjgSAqvv(% zZhTmtMS1^m?ik1M2_N`)8=s_WZA9k&K4xy0g_&DCsG4hb}f?3fv32yd# zHFWBhmxYl{aG)8+UN`%5E{=QPz`1Ll(zRVB2g2*J=wHW?T)z+I$1B$k49YCp#&NWC zTa)$V0#oq)OOFoKyjzon3?yMj#$&G_jR`#0Bhdn6!YMsu#5~M0ee5g>$gNET>-x3k z&9%nQXf)Uu`27-V9h6GG6c~~-AEbK=-BedEF6#6QZ0`NwwS1o_;k@#dRp+i>!TaJ{ zlxa`m?1hB$T95ek^56k08Lz`zzT$Vho=3+oc=SxjGVrUM9`r`$h4S3bJ&xv@1%xNy zG0im>9K|EpN*d+m?nY;`0}lj*t-!7yrnwfXBRqD)XHaK&8H(!j3eb?Xc+mHWx%$zb|%Tm48$o6m{=k%8n9)Q4j$ za)1?vX>c{Sdl=!!ws323n>YkDX*|=pjm*ayf(CY|M_doA>q-oq2?*QR3LI~rj3{X# zF6f^0N#7(dt@to|LTDq&$F)+*Q@7$5Q6#1+ZiNSGw zbO^{dSW;zZC?9@*${JEWCYvmrCot=}^_x5#t4VHbybDISE}7RRJ%gL3y+TuKDw}r5 zGuYv|;+23Z+_F#mx%7Ufr`ty(C+1izj$Pu`?yxU%VvLW!a)(rt?zEpT^F|enJCe0G z&TLqNwYiL@o{9O|&L|Qy()nOAP2(D=*&DA>-<7#lLHsX6&9W(s69@W3((pyB{U!?& zWqSgfgbc86?{2C|^H|nqLNqJdyRll_mpH^Jqc=fyUwx;gZdcIjc~Wirc`DAE)rfwe&( zBJ%7f2BaG?nNC4Z%imw|C1Wc}nP|~V2wmJ5z&0TpH(=eK)Kar9m#m`ICRFvbhQq$8 z&};n)kyQS&v8h%QwYDygC+qi1v2;xi+zFTHNIvB7?5bC`zlVrNU>iRZ!2SHNqpSfU zsevR4$a#Bxg-CPqE(u%pa4$OX&e84#Zras8{x^ijJ|DYw;?-9ZIV8dI-q=WssUkU< zY7p+e9l4^>cuG`Jlczi4XvB(1dve%B(v$Y|*XdCjiTi_tWiiQuP$3b;wL+M#q!dl{gs#lH4wvGz+GBMrn6KzNN~TgGbno}SEBB2*5Fh$Hl!13y zKM~qI?J%6BY!_Z(w&%~TIhYeLDV)`m+PC?&Lg?jKArp7~jUK%~r1gPxv?lahr<1_d zrkV{?zPnIo-2#xEA7UC9#V;@;DE`WImm6)5FHCfo0~rNsQmBWhx#Au7H{ltn`Fbcm zEKaFzDz;CH7(<*#39aN95>XK*D=U5?T(Drq<{oyXXWw`($LVH?M~r1iv7J_g>hx|-k41L*3kDb?3Y7H zDpFX%R2ue$g$1JIn9u3Z1DUjkORY{OcL4|HZZU~Q z%aT-5brU+3dI&Bsm9chB3OgGv@C`kEsMoo;{2&yFb#g{gd8=6{N!(bUr=4ln@7=VLA9z-gfvD*Z)g~U3 z<#{n>@2W6LImYf&bX$&gP7MBWlS~slesCP>5q1Be$$fJ4(^x#l43nD)lXCG7=(RV) zHzDrU?msOgAEL>Gu#hVmTf6CvBtmo|XX3Le>e-cgIkZpRtDm=&az`2d+@81TaX;X+ z+ghK4WX123cKDQ9^~H{}XA9!iy2`0LlXN(4uiDwwLNQuGaG?+}m}rrMo}RD021ft( zZfY@S97jqYWsEdKeD|6Yo5A|}daiP(DSMJ8(|w-ysK4FZ1}+)X%4mVWgU!?ly}KY& z9pzpI**;pyz|S>KvTsPeq%gGn73%J+H2= zxQ?w}y?V8IaB#4*15ssosG}TrGY^>VW0F!nfIc<>?tD8<5yTd8ubC1|nmSe&HWv~y zuo2#7#FI2UF_GtaVupoPEQ;8Ym6fGaV@HaOy}L0{8*9utJUAJR&9Z8BGEfqJhNDjM zesjcq-d(Q4-D!jBtYC(o6sq!vOGwEMST{bt7mn}#nmj2K!pNsiw|L|snxRY^*G1E9 z)|XsmJ<;DWvoX7mrdOu;8(&;PA}A;*0UU9_VWMz*xEqZe$g^{wQJ-O5hXaj}t1vuJ zM3w$2d^005R=bzk-|Wgzn~VI{W`u?Vg*YegY}*vY8xq7w=c_X0_(*to)T--@HhN!B zu(qRlEO}xoMGL(MW!9-?5=kn$vfc}a&-_T$|LMQfVM(sXK7Ua_P0ix>VMGNsV4-Cq zd`4N;LPih-9z1OH{)B~tX8aRa!A3Z=--zSHL$FLUQ?;J{DH%h&Rci>AA#<|{ls!Xv zuyw)y@cS2-`yPu(65l~cJ6&!79eA_6SSm z5fQjFgjCSu4HmO*sm1;*-^T*EwgqRgX&xMJKj)H951H!o;UOWLy>kfHZaxe4F}?A_ zWZO&qqXk^e71Kw$H+1(kvt=e z^_N2t++r;Hc-^BIF-qaS|K%Cz9U#^Khfl5&w!sDU3tY z#jW42^c4ifu9!Gn=o84nibP7h78wt;?XJ$VQ5R2xSmECEQ9GXtkHzD@zvp!jvo}#0 z640cF4~Y*_0_^0g7A0kXng+j3#Uz$BaQ^dj+?eA67ki!e~SoVPmc zv%KTm7JFVGZ=j-f8Nvm_4q*-lOQrt(_fC&%Q^`ogt zxG#k#Jj4Q*h=7cgbgtfY2Z#|7css5WFZlkTM8w7DfKjDM$jwvgV6f?cndFVq!--dq z5=Ie`khRpmWAa!pe7b$=%MCj4gSkI&`}!_r@`&wB9r4GQ3nX2acFPZkRc zW6~)(Ek6eb0|FnYyq=dXx`lF&c?z{;p{~xA9cEvomM@6tQ(S(P5FHHsdR8!teR4bM1P|zgxph zO@(dGT!!uq>@1*Azk|5}R^sg~Zq8IN-=}j=L?R9l=w0Lb;>GW2O~#hDlrhQ$s`g)TRc-Y999aQtsfgvFsJ7&c0X9AQe=9I6*IX(=zdr5 z1T~ox=wE1U(byF)C8=X2v<*9FSb^dY7zLGN#B*ybTIcu|fnRCw{Q`o~W*e>D)#55h zu=3yux+HJwO2FSk2)?+_$RN=7%oB_jw4@|`i6Q%XBN8urDc*WWb9-I0C7+by=9HBX zb+AYiQEhDp-#j)d^9HbYp(W z_?R3K8U@~Kp17@DS`PsmU`iiRB(PZua56FNc#U_h&?(U3w6N7U+1uWkTJLUZ+B^pa z4(f2aE#r?`VZsYnhxGOL2m~L)LF!v z%h&2$76HIw>;Wt#_c24Y{3}CUB%AC&E-M^@J_D4|Kuta|0FkWcq4$v-rNGWnph|i9 z*iLk`anx8~LsPX;26@)UyZe?ghz4QMi|1BEV~(xpFpVxL4fW{vn~#clDhovC;?G;k z<&YvK^HQfaJnxvPrrq$l_d|DF$qIsGC5A9zjXnJZ|F^w1D=zBi5`Y1==;MIN1RAx9 z;zP79bwnaIFL$IR&Z{X8q`lcQk{i$;nrK~m|Ni}#FJC6UWjNCbT30)IF+#YfAo?Vp zDU;83YJBjvu9bV1#$El-tHAgX-s5s)+z+uau%3j(x1F%wLO^cFyg?%#rz_0(NkNJ2 zB_!atwBEaWr#ELc#`>MprMK`0#4789FPG^Ugn}i)84oa%f8%s+k3;7UUsi20xuvz~ z+Zcv37qy#cY!ww!axlL1_Vj*LlSb`hCJR|d_Z6IzEw2|0DDDoMT&{RQuaK$Tb)^>Z z+UoXrH;Fl0ZJqCl^?G46{DyhJ2o`_%wdAuQf#fS*x4H6K-)MvZh9$4N1=3azw&D@&c_Q5?q%lj`s#`J#wy7V`M$WD+)A9({0&DblEdcuJ z+zfKcUg}UkZpxHsMZU34QI<-^i|V< z|KQGP`$7fEz2dEl|43I>K zH`^7KtoozVq0|&*#_S>@KL@ZwQ#x|8Suie6$wz;{GOMs->K3yV$=2u#(^3>yrxbIA zi`e5hjkfmN{#?kqpk3}^_-+OGRpRTEUYs1;`o?D>zf>dpc4+{USWHO>&JxZvZjJRBcHl#=Uo0q zc)%6X{~ksxF%JCf5ILu}XOAZ{&?U1qLluz9-G)bHEGc^XZ}1#}qZ{g054gS%V* zVDK%In(xLegZWT?U(wumV=OM0B|wEi9avlY&JbaCMEq}JkfRzm#Wtb%^syjEwqC3uZomFQDf==@b@c-nS(Cf6+bd|V$3 z3sy(i)#|?~7)Z_ZY_D{WfsRCDAq zMB8Jv3^tVX6qI`EJnty%f@mm# z?DpVbp~fC;Ig;C-&b3pMjW|<%_wjmbw$3>SgYdRiy}elHxN3DD^TLVU^kUVzYc6%m z)0KF<=yfJgPQsch!#w;d-^qUT%elH;4j@?iZWhMII^?32Ir*q}EU7I&Dk9E+B637A zt%hs@2>^ggt4uxec{OtuP|u6aaT| zPTT8Ol%W#b>GFb@Qy8q@}c)NWr{E>B{g=hAZk7S1JZcVJ;69-I!18HB2m6Q_Xwg>)+k+ z{iD0CvU)aSBkLc-@h#;=Q#Rukl~YqwhRoU~dIS8Px7l4LM!f6l`^Cj@o5;vWUz?qd zDI2$OyNZd^pUmbpQ(CaTFylthnb*J*AgO+5OPl|5Sjft#%@HqL0y1W1ZXVvY44tdb z%`+zv@R~JYzv4P47WVPb)+SnhG_?;sD(a;=%8UMddP{)D$Hzyfl+JK7bhJWOZMzWl z3-Pn_GN5_^3`JSz=p}%FAkbrR3P6_rcDzYi*(Sz(|2w^^EzK0PI7*PaT995_i2>Sn z{Lch!CU(iNCtb4WuXI5O!q_(FO~gr9bTMCQ^%p&JaQa8mXrQ5SUR*BOlUAef7OiEP z7vN~?GJ=%J2lJM@!Nk=*WLw%3++COV|Wj74N?zeD;&;4I@M)K}QZky9QNtUHSh zZcwzNSGFC#>8bh_Z~BE`hDIu(zw;h$b}-W>Z@PcjN9)ZW6+PU66CkCb>^8p#X}fbv zSana$@=u%AZkKanPWHz}#IAUG(mE^B)!C;)Hr)mwvlde$hV^48H&ICc(F;L=qRtI~>{_qS-U z|19Q7y3l)SWcj`JwO>o}H=ihry9sgXrSs$Q(@0+0fm^Gqu+6>|9n#uWVmL=h^B4YD zF8^ZRqZ)gfJpA(0mCEqe7~&YdjR_HGHb;s*`+?k5f(|k^*AQtsR+soX{FJ2;_enX> z-CZPU@JNZ}aK%H@c)@O-UA&ry`Go%LuUV&hSH|LvBRhV%KbDQ&_~ed8UqVA#g$HVP zlyEf%vk}P10D0%LcHf_lZ6kK$3&lGO&kT3~r zy(jjO{ff)Q#Z&LKog9C!Hu;HC8a@5^0xUmKbD!a{la{D>n;u_vQK2IyoILF^Kx0dT z-#&*@R^2b_-9VMOcc+`QX*>y3FF&jj}OpX$1Wiz`KgSeG>FQ{8tw zXaBEqW*hP)mQNwy(D|iq<}Z2O9Pht@-Ju5T-tEM9#3-R?gv2VSXT(wK6*l_ad3k#B zFz7ae&hwK6a61cQdXw!9CV3ypjEFo1=Kf$CM1D=FMopL3n54>UL?e8jXgX;37AcJ~ zK-*<=_TBnev7C;5`t@Z2K)*BYGHn8(g6034gf}~_WwH10{km%O5|6&&qiU~gV>@vU z>d3R^+&W^LI?>m5dLaWO;_vR-9HRt_I1NXfv(!(2mL9ix63=Tlt-~wK`>vU{eplPV ze?+}?-%rgDL!#OpD>Ljvp{#eHJp+nEDHXmjTu9F86acVJUSF6^tveQ_$#;A6ofpIK zQPWPPRNsuo9DCU22CjWzuOZ5*I z>y#%mw){MQ|0ez5gMj}B>&pWQ+Jc&gmyqo{0|2AE>>^UFB#dQ zHgXgd6&2rk{U5E90FXEHGc7OgHMnLHkcI2K*zywVW|(6B<<#yR*{} z3?BGus#P&nG-OdxQ6bjSTn;r)6Z9K4VP_+8X1o|5H>hrpifRi2Buzp6UcdzT3zZl< z$RR7d{YGULRe*wOQ>Hmvr89AQ8euFIogz;QZ})2n##EA%6Px`9x*2PYKca0=YHDg6 zol^YW=H(I2Otrn#;N#y(qJm!nFQC^DL{PrKAy8lTPbu{0|6B_70J!sONEY8fF%#j> z#*_GA(c&_PKPL+bXqYj$Toz8>q}VNPS%TG`zo3bpXh#;n*K}sR8HZ$ zq;LHfZ>AH-=;X&A5%l}CSp^}QWSU~$$AUtQH1^Aa{y)9(KeFo3shWi(B8oSMli-y; zN|+2xrLrkCwqx%;ebD3e?)$CI8XW(u+MA)-K=r%Z=_E~6B-T~+&iD}6i6xac4O%^3 zoy}q~`p#@6WUPPz=ffj4jl6R-8C<>?mbVxKCUzDR5dA-NdqmIIr+l{y8w=n~+|F{^ z_mN_#nX70oe6E{n9DWJj4eT(B@Eywh_;L-^=lRk#Joyb_#a~~fk^(%oQ68{yx@t$< zljHKFWW%gPhCksg)A>li#AqSht#P3*Kq*3SdoNyPW^;h_mV@WhF%*$eKja#2do*CB zGQfV9&@d~my2Jh7L*}=z|DPaJNTaE`VPX7!8~f#OSE-fs&LPDDBW+3goG2mtSvY=F z@tiL`{BnGXd_xgFdC?PJQe*$`l-tpHTMJ$h=ij?0NStOszUI~U$Nc|$5G;2takskJ zb24PRtJ{xbG)9ui)=%?kC3MGmH&^9bqu}>IdM0t&!zOp(JAc{Rn}_V1qy=La_nf_M9Hw&pnd!s z4~Ihro?0{PjqRsanz8M&K2(u#^3Cm}e^+HhQDmFa|D(>5j>l^25iUHFUDiE%hYi`6*<(_t|0z?|<~2ZMEA zdMkXt!9G)9b8nLDd9SSeiy&;)h?#8xPUkLH~pi714b9WZ@ z&?LZOvXi}rk2YT9nzg{kc6fs8OxK1+!q2pQ`OtSO#=ZS7f^wDJ4B-e~NACb385la0 z-O*t(;BDDOL+uKR{)^}ouP<_xKI0#gd)Q$zU5ylaVsBn3G&^^4auQxS{U;z8d#L$Y z_N!Nc3;8!<{s{?QiJ%xsUbpLUS$D0Lr~SrsWcq%nBp?&%C7zJ$Bo z`M1#EN>ld!-D2k;J7$6IQNxmn?Z^&77JreWqyxH4LV)Ri2Fz}I$$gygHo&JbG`!A# zBcrXYy~B;gV{ZnybDGsQSCv~TA)O}N0!|5bbFmzlZW;;q3oe%WGj8Ddsl6cW_?&Tv z+tp;HkMo0#5 zO7y>EJncxXVN7o^|MPD&GsDnOYobDtOvI8si6E*j-rIVIRmWJWLwG*kDrCo=bW3!DPA)9S%#7~%=-fG+ z7e$$B0kZU40w*UY#dJZn^Q@H)C7YQh()Y-qjn=n6e*6&sHX#SpTeph+rF(CK5CF|e z`RmGaS zN{fJ*_)dM}wOhY>a#vEHS&TGwyzH7&-A`6$XJ?f+s{n*C;fdU$iT_0+11qOjB;52Ivnb4#9@)|-#Nr|AO89V&q=@SZrY~?o%fID@2OXSIgf`W2-adB~W zrp6FI28mz=Du!1QsY9RCv?H(!$H<+8mRy|S&Fgt-eNr93N4oIk?`;f2eTu%Z5UCeq z=jJiXpi|9G5Myt0@IJuH-D01mXg_nD_y2}(__r`S5I2H~-d1PMBX7g71anWi9?2Qm z$ zO*3EFptuw3b~J7Q-6oy$o|m#n6=$?3P2rh;)s^@%@-=?XAI^}UAQH1Bp zuo{FG-FB|3ca=|}=WzM(<}ZvrG>}!eENny$^!i-KJLBSywUsw^PpQS_ELP4phDY<3 z{RSvG*nIXZ4~5BM7=(#=@c)ir9>>**GYOUE+In@Dz})tQV$A8a82asAYyEwOy`P3`3Bid)9=J3>aww3a0KY#Q&k{woj%RybR*yIC zdQgpqX34TFBz~oWKiH2dQxC!D?66GK-zUWF(Scuxn607NmtHPcftNIT+&B%j!CB_j z3)tC*SC-9Q4*dhhMH1qjcM^)$yWi&ezGU$HdiE~zUuY>ZP-6PXcBuij%Vn?eB=~`| zg2}o{`^>9RF)!}FP>egh1UphIgS~1w6jd=tRTZ+*RZnEL4=_lL)b{jHAB((SGH!(6 zUK{t##IG3Sw*B{rZ6pTz%(1Vv_^8#U@ZD>YcKi<&;Kf}n(w_212l?&l>T0T>XW_0? zM>Ke{+E?H!2B<%D?XLDPlIg4+6_}_xvLfQeZ((6YY({9akpqTyDbd*N=NQKU((BhoYk=6V8V&W| z+g{*B?AbVpm!%<_ranurR0C*m}|#x>EBm-k)|127p^2P0*9wZY7}p51W== z=Z-bw{SKd_B~ZT>9v-gAgz?(49x{6^ zs=Py8s)RaHW4HNKVtNN!0mzubE}P1lngrb9#h1``(f7z0p2m}49#{YQQPR2s0hcV^ zBdZU$G-oyDCt~^rGaF;>L_Bu0*)nlW#^%8dP3O@m_1QaZ0wYxxWn*7>f`lgF4@l{) zt*v=rzvK|Fvu)S?**ZG?<=(QwYvR1)B`GZ!@`P?y%u!G#-7qvYgWNINvt=dHkShvT zBUi);WAEOz9+Q7vGwn}1p-pO6dy-A+d^cY5ZcR9|khg>ZPB1c14upiHj}z3Nc33pk zzExZ_ZNe%dw9q(Go0H;R5|yCAhM3-18)M>yQYchTtwY2?PVQ!DZg_ku{M&cxl+ zgrEM~eJxhc$y>Sh;hL{g$Jj`oiJ{L{hNI%`jXtt zvNMd;b9B{+Bex;+5PftZ`1I1jU*8!}ZL|@O37QP$6tnYW$GG z&R&#O{duxBTe=tEq~StOF>tkD?xpjIOq0F3R z&m_Im|M;nx_k2iE)0Q(V8-vMEx!2XoAbMV$|1lFVuXJuRt5&v$Fi}+8iCq{Kk8S&# zN*V9PD>gfd|0kneZ0n2I!iLDEs~7Mv&NF{Hzk2xA>d^aT?>Ih{kNBKcuPcuB05K!$ zL;UqwghKDHnD^Zs%5OX?B?`qX_4M@tA}4?aRJ^XOt_mK-PW#qIT?J3_7n*fMpt;tV zzc1#q2qv>|)!zAMEx?_FmL86Qmcw_ANGF(XBbSDKA47GvwY)z*kv$#}N_YfbiUQ@Q zCk?L@d~wN8UPMc&CyY$(nuVXepOGLUy7|x?sB3$FwFSATpGq-O7(!p<&w8%_}!h5OHy9k!{wA+MYr$W3>pE=hDMs)iEoe(sfeKK^{+z> z8sGJE6{Lt;r;+cCS+KyuWI>*lJlrO=BJq_N;z9z2;X}fs{<06`HxYN1JIC%5!WB8Q z_1AF0nk!6u)1RRsy@cSV$!K~b@bODF8mTKy$auH(rDFOGasL3x+Z5nogGp3!#~3R( zpYwWe3eUjAM9qgR$#6VouVornfok#7DRVG732*7F!<6W|0k2bRC8Ytt5yBc;{1Xrt z7Y967TK#;qxJdC0gUoKd(1`vrB{QGV#p3L~WK4VrrzB*`f@`Pu2~2N^LG{?SZ#5Hs z;XeV>I55|~=;?v^F1L26#UVFVyZFZAb|u5dn=WT+VnWi1` z4rXF(t#qzbhks$IMVfVoEu_X~@I z3D3_!1$VaN)Nx4QbE)Uex+HuZ@w#9UUT%GYgB9wV?l=Kv7!(Q;?a5+}&DlRqfM}F7 z9d|SKt9%T{9Q|-LLK6?wo7ydQsqOv&4NJD>WS>XW!Sq&t|NhT-u?`^;-AdZo%Q>pk zO|KA*u`>RQ&8Wm6bP^%2)_{R_GkmS`aHc>BGldu}`_gif&?`amVRLGGkFA`arFe$y z8cE7;ES3*=92P&LA1LIPQ##LMMJmZ7EBSTd7gZgmcA3AE;|Y>pCSIe@?7o=`kTmw7 z0sa+1&A`kd9DZu5p*LlEuiI7_snM515A~MTM9iSDzHzPD5L-iw87&bw=vfiIMtcJXLiMzZXh)|0s?ozFvb|MlP$Rj!Ccy(^ayT{1kA}eEydpHT{9EZR5Iy$e=Hr`+Vat0p6%5WaGHJ8^R;Se$R@Tr%KBO{L! zI>@0(l#k9TU8SH+4wyrPk&n*${*cKDjGuU+Z0KLV%W?@fI9bs_1HFs(YtDc@jQ0ab za_f3OI(Ax_nleGYY^F(x9o`0%2l(EcCvn?u?`l=}$?=!k&amcy`_lx>H^2W;vay}3 zr!kP*zjv@_RdG{la$BgFBI6MZy!>;hIxvmT2qmI<5baZoj>vnNg-NNdh{XsM^$Z2ln>%{{9cS3MoiPNY~fb-H*z(+tPC2e=;`KrTThD zbqU)mF-5HpPtg+BrpdT)ZgVp@?KOYsU~)NL_ceGQcr5upzq%q?z~qT-Kr)gDouq0~=S@ z*Rrk4-@bhd8OIWh*-Wb?6wUY^VmIqiX{`7NX5{Cx(zdep@OKHu1mo&iTQ*npB22uM zb` zKoQtb&N2d!na$+lKGXUH`7>UqZ-Wbr^}U%{?AGJ>NlYrH$gTKceTw2t^~CS=g!Ll; zANLnG4lj2O73Kf5&T;X`%r*MI6qH&j8Xj#ED+!=r{O~nqlTc*Ad8fRBm6=s70cde` zfdI{m^MlhBu77Kh9oh5@92EiCBZ43^dzD&O8+b1MRn!>5@3_IN^0a=ds8rp!WKv#s z&?xjEjQ`;77_$4b2x?vwv!Y4XQsKP&ClXL(utvNq$J(_uqpGHvy|VUq`z2}CEHXih zsl1fiUl3h^LJ2-vh}`sR>T>L<`UV>+qflyb(TcvG$5dji*rD2|<^PMduZ)VT%eE~N z5(vS91ef6M9^Bo6YjB6)4haMZu7$f>;jRhp?k>UI9o`{dcfY>fcf8kkjLXQ6P(aB( z`>ZwBTyxF+EuWVcd6V#MJLJE4nR=XoUrdpdurx?Q=GOj|5uz!VNRo zj{GK2xMQ(s+_)CyM6!?b<5jxr3292##az>!pQ)>IFr_)_yum}PS7gbIp>zE!k|Ms9 zIwX#ZqNmMyGhk)XtxX)A%Z!#!vTIa=jSQz+rd7oY***0?7oht!t61a#U_yYYS0hL4Om2D9EAAN%K?^q{|y)Y*S| zqQfugxqp7E>WjoEC!|*uG{2gIFRwFqhkiu5b$PLz3LX~UpZV%PxN!R&)uET071mXy zWxniGHgVt`T-L;-k{fPWsyO;a-v%S&?PX)WZ^3LZcAAH$F(My!XAPB(&5`-1#TGHS zSWvQG-ta=gfki|tDJv`3$oyz*^()98Jbq>*G}XkyeK#zyO5NyfYM!qYw!q-f172?M z^FqIC9#S!~BQvipUWUbpb9xXWO7L)hdlYi;G`!^6&Fa|feP2Ht0n*E2G76?xyXMUQ zfeKNEqE=QYQlXuK(oltDg_+|i7dJ{O6ih)VG%#QtPK%y}DpOEWvxleZ{-Az^sGKh3V|!VRfBd#rC0jVb&$%9kc*4^r$UM6I^+a zQp;0b$kK8vA1H#Kia&#J15WV+`36!6_ds7^&U~m9Q+=c5a&jD2Ckbx-`OL^>Q-I6S zM3V<^f;T6Rn7;CvI`rhgHw}k<1;gTaXpSnFpFOffbfNVM=gH!pGS|DSAJv~;(=fjc@LT~#MU&ZV0{Q-ebQB@VN1i5lpa+}V)|mDW(+Xg z!nO}rj}me1<>Yk~eX~R|K3xx0W4^xt^`YxW8G0&Vi8`Iox9)dCu5}}8?408Wf=FB#-$CEF#G!2c zB!czP(awQaKhiQa`$i)t(1&pUBh!TuG;-IIO~UY|Ih;!1>NX#l6+!zeS;=Z%m4?Q5 z72$Cu^vsjxs^0yW=jTeL~#N?pu%&RSeZOgal0Z2_*1} zOb6Hw&;MkEEE1vE&|VnBbMK^W$`r=l7gsJg$>qb{Kl~9P)^ky|_jc z-I$oTxF+!h)?N{$IA_u4r8(ba`5vXzbhS&eakP%^Xx&~!Q}4K2Q6IqESW}us<&K$T zI$oUxg-);jil(-++pFv&OmyFA%v|mSd94#NptbyasqAmycq(iW`zFOn` zb(iJ6p2}EQ%-3E?e~NWTb}y~zByM^OL7A}_wl6K(+Wp< zgeHKNFXqeESV#SxwFxf=wzuV1!krI{4i6Y?4NdG=?Nx6Zf4`Q4yG`Xw(cRLDRcpIs z>l~bU{_xq=nX7p1rZJLOyc_=hLoPU8P#GDiyDLD~1_jk-!h?5`>C15WO15hjH(9yA z2VaZ@yY<%UPbpLnZC5tmt*3W-KvaTT%F!LBO}fas3bygd^DKXo&tLxW!TIS2yp$WU z1;Vua{cz^06zq70TGF4(+RPQ2A>X_F6y1Mw5=Q{V|3(svl9s#^McK7pvFd5wx7y9o zGOu^B|8g*Jm_p@O z5Y)KQ<)r)+VOKACdy!w-{&I68wq*_6TC(S1_DiN^BOX6j2?UCY!QhKhLp=H{3@R&b zNM!n&Zy%c%1iexxQz^B;V6Rk)U#Tz*#jR3gSx_bafVZHw@;UFJ1)i()a-wZE$@XpjS4Tm_wdB#a)do)T={F) zUZoO!uo)QUWh&RoA^Zk&Q!yLPC){AnKY9AtjvHaF;Y zYnQ+V_&d4M$x?ngh9dkFL6;*5m7dL=Mk2#o2v59x9XEYvOZ^y^4LRRE72ao|55qun-(!{AE_fL==prJ zG|&Mz@Q=&C{%UlkU;eMqtNqmdf0&^IeC`64n%kXkA7MBz@A;3BqS=}3`K)$8P! z^A71M2&Y@})Wxd#U^Y{~%b8<0KN}#&2`p99!2Spi7s5k^Hp?*0Ej{e+w~wKE6)G7= zZPfqZTtNJ9vDKaQPe}jaz%PQ=^Ey6K6uu(aj*P>Y4Le)LA7X=i9aMvggTD1qxGT}`|8l3jHBC)k`4^gjSW(I6lWQ`QR0;> z;y<^&nWqlk%~BC{Tp(4OWPKr2J%yBYN`vL$>HwKkl)km&_LFy4V#-9u(am}}E8c~L zT>3wPuW~Sbia?&x`e3vd{J!b0)wv7JbLVh~$@}^;HS6H;htJOX$w`5M^L3vti^Axg z41S4;0vVPNcI>u^i?qPyXi}nYxtkiBgH`?F5IRR`a$9|nrNll>F5rg4zL3LY%{$g# z*iak_L}7VX^1%1@_~<~T(_X2!+LOs~XIVGw&AjcZo^^t>N-Wsriw#@%{KSafz4+Rd z%@x^6U%#t_`z8UIXsG>)mzH6ubxNeoeUTX7zN`x^+QwpF@_xQ-M-^V>&2YD?V1lAZ zl%YgZ>AOVCKjA>SGz`cV@~`~_bDpFGzPDKPz3~Wy5+kkZ zyAWeu7YW0KgPG9}nx!VO$EnGhhZcgb($#P2HF?Ge*Hu&kXjPp(c&#@wzJH7(8(MV! z_%JL{d6mn{HIX8O>G0sBsb(^owO6||daAh6k9SCz1AC^?op}s1N|-9S?8kcT@a7Ruj+ihi+wG( zK<8IZr?B^f3=T&q&OEYl2=iULSk73N3G9(24oBz1zwF^P#f~uce90d?Ze~{FHbByG zWq4_eVO(h{YOz^P~O=H-{~YUZka1| z23w!-1^d(Ah~4Xrg@+XTZ1-*oIm7h_ABx!YmLpb-!$h1ux*46wbhK#W#0_H4mp1d3 zB>6r^IoheBEQO_yhM55a$A0}>H!^v%o(gSvv`kn_)5ERC-C+kT_3pEe?I&2Bew1Qu z>^WfXFEJYx$1`)6S3`Wr6Wknfl$#PAoQ%_B!TokNcr&L;dPA9vgShb`s+q*zAHSFm z-}AQgKT@tq2+x|>7#fmkdl4%{fqt?a8TA&sFw+b)CEIMXL+nSF46aUZIGyR!1|zFL z;j+tCZHFDy_AyDXZ)t<*ReXxKTY6@?Pp#OA$4^lWMw^LNyG8nTr#qoQ6$84j-4SmN zzu096)E3;?bb9V}2%`#Vppd-r^5Xalc>yYjjHuSZI6*U*68L_({rQ9eq?$X@rt#Y{ zD69&($5Lk*XX(Eu(23fsNusKi+K+xUga;v{&JN7w+@5Lgy27;x|R(^n6l=M_+ALw>FDB10&&aQ@hqZ1GAgFJk8K6219H|OP9S!#JL{j z8?`C1Qd>vOni|c+Ve9qg!>Plm#;qPsyL*F_`d{;s1g9QQY*~!O_1D@Lw!FK*=ZpqP zcegG%I4>^^KhF53p0p%`}) zOv$BiID5w`QPZdB)#7R9x!V7_6Te*`2BbD_)FZQ70}YIPmb1<@2GQuhNeR?%Zf;rL zL=+I9tG``K?m*Nez;ORG7UqfJabNx7XAk@1#)X$wl#(P|+7d_Abr+TG`&lY|%IAsi>PSwde5-pNGGnTzm3jJJHx$a;z&TDDe08jkNK|MU<@# z2@3&zje(J%M2eEU5ouzd)i~e4E^-AEfKLevMs+{XA&OOJsbIGfya?0`G-wutR0&a6 zb&F0=14S+R8&?Cb%gDeD!#BQhcPz~d<`2KB_`DBag3RcH_|nkZjj6s1u8MJ@(wAPX ztK8E|XzolJQG-mc<`uJbeGRJh zG5%b+{DB?IUfF$m{*Mn~0|*SnvY z;GGa~^^m*Bo+$JBZVOJ6Rl?H{sV`BVQRbh@km)IfI^b}Y;j}DY-baHN61}}`>iBuR zD|C|h37ww4^!(g`oIJ16WcYBYSrg-MNO^8q1Krxs%Cm|IuaZgo^mn?#<$Ob^YI`MV zk0iZI=jl}_iJx>}byY&a6TU82!^@=H?WJb-;Zz=NZEYz2=7*HXNCn`#=H_M#X+HZ+ zX~&g$n^p+Edi!Dsp_Z1GkB<*LJUl2pKi?D>D+gL^IPBI+-P=U+}Mmbaj_UMvl)Wy|n12 zdwL=UjhPb$p4I{w=RC^|BOAQ{t_)^0@*ODRl#p^mK#{Wb#}%1o`zscOG{DnTn4uJ} zCX!AR)xQx%!l~c!188sYc-|^`R%K^*w6(PXI0CrfnZjhzSm@}T#+91MDy)kRg|Dh8 zaWOCg?9r<;bgDV1EhN}x1b5LTUP!Qw#P&{E`(hsgnR(khE=)9Qv;ba6NC#rZFI);#Tf?j!jMzHS^?<4~aUWMk>8 z+VttDhCT)C>H6Wkc8_GviCEhY1yB+8OzW^4M|h z5R9Z-UBX^dUS8hrkFYldnQwAEBa=(rUt5bxPKF1mmT1}9+3f;T_kOfwuaY@HV}qD&y034nw|8{BGOMUr4A@g#j=R6t)_{&miT_>G zKV#Mz_3Q5skxozi?1-R6yX!U7f~(91TiSnOC-TxRiq^20_FH&8|1U=Y?{mP$-Qz#+ zb-P<#sdYi=uk)$4+XOARe~CLJbu{&2RUt9wsbZ2Cfyvs)amJ1{lfIUeW}tYWv59fe z{uh70vkt|i`N)gmDw(*nt{W^=Xn~AfO~F7DIB;` z290sb>cYapv>P1CtI9ka9_!1b#k0m5oGw(5zCM)g^)fM>s(l$6&f>F!_Hqp6_w`LjXGF;#ag&Hdys!m&TJD_dyiiwkH(+?g1QvmXpGl zFz8+GJUe!<6XYZW^WG9`b{29rN;;PQcP&6fCzAW1?skdp4Mk4Bzm>s7NF)5+K$5ts z8=`r?<(p|4<@46i+T!+?cyn^~4_szG%|iU~7m&~=YQ<67fG5m54mpx{EwWlWW;=%Y zk<}L8<=7sag2~^RkmB~~IkDuv+@ANgK6A65IPf`Lb06i)tEuR+va@6I69l9{P+xm` z#PP%Pl&GLq1`?R>E)O&-jYETj-+~;DmYVIihCu0$A>rY`u;b@Xgub-lIg?MNvoC^$G=fK0J9oVIm#gA!;sS7p{Pt_?HCRe}gazA6ab zk4sHCcInDlN+mlVLQHtBxc@}DQiXJn%r z;|`Kg(-ew*h*!hEK@)G>S!BE6s06v9C|u6sU?tp#m|J(Jj3~S{mLoVD-#9qHr`hE( z-|D~WHgr{`KW)6Y{{G8YJnhH%YtNN!WNBs?-iUiJlu!l*DP7NjsbxwzZV z1eVMR2ngWv0CY&eN)@#4j`AtrB2m3~xNFshJ^1AdGa0S|ZOAmszU&bl6T@OTQwAs} z)YR4Sc-)lotViZJ@2XFIp>#LW!5=i%23RLz-)PMWXZ4^d!uhosR@7+`3njh@@5cG) zRGid^lhmF&ZpKzK1@(=1IPIhE12zIVSC27L$scykEBZP(Z8Y@b;Zs4 z-D-|QeNEq~%%|NL3mkVAYwsY?8Y5QJR*@mfbj}NgqF_OkAzh1;+@A7Ura8%XpD2}7 zkxo3XVmFm0MQ-JewBqr$L$db_K8$Vy+A=U>m@i=vrKO|*p>J<*FC`^qgGc|==EW{@ z6;pQSA~o`$yQ3tf05afS)xOH0)%I58khfd&Wd!)VYI8xwv-G zwZ_8ac%GaJ$@Syik1A7b~Vr#;>DONoKmeIhS%T%`NM>ZYb za)$s+7>+O`QHomeAPpqJ*5PH*G+9;Qmb2ROOd9f*A8&RdTs;Q4C8z1!UiYqh;2+(b zh4{VQddYv?R1YGIl;o?44|>J1q?p>!2KLK6CNTJoqbpb#V?_Dxvb(*8`{9~rwdeIC z>spM;T^;~$&EzbWx8^y^z?%2h0c<}s9clu z`b-7}20R~K$FM?Pz1=DzPc~`2-R30+KpbO>pp7+)7dm#LIxb>1KP0h%8k_W|o1X@2 zfQo5TC`2_;H(4e}Q6fi?S2rR$dVP2El4@^q@pmIi;CI5nCU)06*;Kd$WFIil&Uh|5 zD@|KU!$>3&q{)zBfeDIuX=B~x7A&k`o2L|-Nb%x%br=|!yxiQ(!@mIj+~H(_5>>ex zU>gL086YsK-pJdXri_~mrEkOfn36+h;Cn6*0u4we^z?Pa{+L!cVCBpt42` z*5`T2;xv?<=dcZ14fj%B7GeDd+$1Yz

Z``#U(li@@S{?}MuWSn~5HKYlnnAY}rw z>UJ1vX*EF3n;MDtH>*}zvoMz&HvXEAy*biiqR7-DEBt{LgF%0`TyM9Xia?AM3`d&J zI>r?k7N!EjX1$=sbEdNK?~%gkpS1>TN^vUbHUgOEx^-MyGyxu#8K#MM?Gqk2Y5iH z@OkqxGoylJQ@D(bje)%YR2wmpIT;zNCEAVG9)L;QBLJ46KA&%omg=^?{+gan=qTn> zTk9-h=;Gqy=5~9&GY$x3F=#cihr9vQ=;Y*7Q&Xd^uD&;2iUH?`5(o|A=HUq^;42}P z`9iy&Q&f>hvQz{hK&vmC=^rkGyEHqI?p#{_KUIMAAX=B{RcDmQ;ccS$LVEEdbtW+} zh!7|O`h2q$z1|(k)cC(O&E&1;s)U?oDdLl`HY6J_(B1*-TT)WO<9-0)7{?wtT&o`Yjg7j$WO3guXcePx!|C19g} z0ixef`#l0(!KiFWO4`ACZ@U{pdyP13AyLqa@r#Bv;AJ5|IKwBp#6)|A#ox8*E0LwW{J}-|>Neys-MIu^>5}v9ESy-j4Ha9Mc*D$Op}s~%fs`r zVb0wF*jS(PWa;RJrlt-GU2Sq7+MKi{Z|zSrQ49QL-xM`qBlB0D>(;ns3v@w>5j9Ih z&vS$4{qMb;Y{|m}@=D{*6*nc$7yMynzs$?&Hao>yRLipYFHYx$BOsITkocE8wfPH_ zQIqFly2&i!rzz0Txt}q(iMme(i1E?X(yLNWo2hJSFU4=xe@@@{SQ~B5YWmG&F1KH9 z{XXQ4PrCdCq+!?^~q+B}=Y)Mu> z*SNU2oOZ@K$J$OuZ?@W)K7>l{%}r|ZfG%I6iLi%t;c#&{dE74^OPI`kAFwyEZ?K$U zQyH%7FB@(ObjaBv3?8$vXvg28^9SDbo^B~l6+8%i74Y@KPe+_`iBV9U^0cl)SK z2XeqdPua3=Z%<-qf5Hb z9c8U9xlEZeENo><^@+C#Rl^35YzejkN!q3Zsd;Eq;8`o2LXUfMm#t4o){4IiaSnua zIeAwSSbPbLA<3>IsC5h1I)$5+g|pO6IgTUT*OOrmk#l zZK1wCZ`Z>GEYL*S$;rv+=qN5?9ueTciva@0;wENqudhL0qoc=9*1Ml>_ey~T0u4yz zVPI?)>Q3%&&H&#!qDbi^HW}xJJ^nkleE`0slrw4X775)!T5)n`_tB_0pAlY4|Hkeo z1Ric~V8kxq_Rb!jB)GO!t?=udm!HCF#a*nfpAUAenqZp2F8{B-upce&jcIxKc*Tr2yePEFAmH0H?Mf4gjdz{HADvp)uwL9(4=ouL~o^LCp zGzeIj(Bnbzx6H%Tczb(*eO3HT{g|iaHOamhp@tHD5~oCii|$>s3xzi$z54TJPT%e1PnL_(#swl|FNZrcfm_-)wH(ZMwI( zuZqd5vm$z~|4YAogqn2f?0&~QTUB%|ZA+hSkuswBN+F-dJaogHdhL91l;H#>?)-;R zoO1M!?Zq8^5L~Fxr@-qRl!oeMb}8;%{EMO}^k_1k0|e|(Z#wAZ3^j+-7TUFzGw-q) zoY|JXv2+2>V1Oa*cR@V>O-V`y(Jfb$cRv?>EH0(|EJu@+InV-tDFb3ayZp|mslz8` zRDdEwhV2T$(o|Fwl&9$G>Y8kMHD4e`z+7YIEN6fX#m~MsK1g-972)VN9@d4c(Q5cO zAcvPM=GEFpxI1!S(cgafir}8{=Qoj_Q&e3I$o%_FE42qz1}ice-TE(wO;{;Ie)OIs zFY?8UhviySdA*KS7cI7+9bc197RSZCdhk@K$V;LEe=J7Ob}vm~IXkXFTY9)?EoqIu zlIu81Py|u9S9v@<$jKR8`)qcg|>1 z33q7orS4WTp9IH&OYtK>kLpw!4+7*Lxok3r*%%34(?<*2aJ)AwThmXTB+v2asQCpp zFI^27r_@-LEy2m<_!_p=Y+kfDB(;*$ZZN7dxU^FnAma>0e2!Y5=qMOK7M7l-r(hYD z7r(0TYp4%Kv7J>6yp-N*&t`XK&}vN9z<-)%spu_T zr%O|FIa~90_ZHz9lB4&$|82_~es%BK)#i5wMd-1l1&R>Q!G2Un-?MGX;db<+eoLEG zz<3%;|3SI0A_n$uT~9OB>pu01;map%Tgjq_aTG{lRbKTmYGL*D36d3Z_3cr$`9gCh zI<`%@ClLiuYJ|wZ&=o_%Kue1!GgIpF<41L( zNVVEZL_|bc85xnqzqC(Pi$$Lbl=Pp`JphLQZo2d|{ebVU;Iv$LQw^UN@aPv!7Nt`SccprKpxvsMq9=AoI42KP-Q|*hrJ5m(0 z4%4KFeFM%`q@i9%-@uFaVeXGm8SDr|C6|t8Fda#sLy1pJ1kT_fq^CbBDs3sm9bWxH z2K>lm2-n%!Ii}o9^Zl;pXIs;hFrfXt&7FAi%=63Osi82J6Di8;H0_Ri?jCO=G%HwS zgY8d$_W~9AL?j^-lU-*Jy7SQz1cd+@85r*<10r4Q5sT-{N=f4hgf#FS9us4>-y$_A zv?`&gL9(y474dI+28BJc4xoMYs}HQ>_2$JyX0ILtCIFs65y{B_g%1y6!3KPKmq1?t za)_&D1vOCEaf{oqC*tSNpSvQsTn?~CPc}a}%Nnfo!DoQqxU!@GG9G`s{b%ZV&H8=F zw|CGPiV@E>71z9Y@YYz46mX~j3%1Nd>O^0kIe^g2%*=pKuux;A`7fXx8U&cR&)3?} zQ&Dl*t_kDKhT(Do7I&h;!so!~isZY7S?E%^bxkQ0VlF*><hT2kSLu;#fTt!2 zI(lld5|t4HCLlZq3J+VqbA&ZoH{{8Sx4Ax$@dERv%cScLdva}%xwr`?6q_|aAes?xMHfYt zN24C?fS8Nv1qi4Wa=68*HZV?>lot8oHdr`MWmCnkx%93I#Y!hygGcOBE1oVbGL)`w zFCQs~+`iwcwo0cq-*0EVDCc-udb9;P$)I#iuZF|n^R?5{wJQ7mTkKCs>tevOnmef2 z+72>5b@lfHzSG(O{NKgM0=g!M2nZ1CPiSx=coej>)n#S!^CF9zDz;UU?+9!P2XmAU z_>`qkqe&v_+yw2Xi^!OOWtcEEqLrmyEKxNL56xEv`V0RVX`YB=!fAQ)e^na$gsM+Q zMPk^73w^s~8@n|bJYvxQPfWb%-zq1~c%In6!<(h1b-Af`9&%ZX6h1~n4MrJ_n_rtU z$gReyw5cCoU9H(n!7x0p)oTrf57Rodqxl(Tf$?R)NW}2V$BP~b*}I>oKLpr}51AEj zX?0ghkoc={%T2(pN?)_e)wk;9d~4~y7-f8$2nNbdV9PokEot*i9s>Ibs2|ehDM0C^ zPc1=(N>q+30NMSAgWcIF@H%Fxf=#iIRKbCRum;)^sNt~zYqebm-kHIBS|K6mL2jR7T8@676Q)rk>)8XiN&1I4_=B%c<}s`j$7T z6TN2vRt9HIn}~PPqS@3RFX^;BnUap`0TLTK_XWc<#T_aJUwtb_Mu=W@##;f#`+h-NjzsV-wK40}}yk#3T~r>({T> z*Dkzi;i^yqs22Hw%Ds7#l+SWv1d9KNzP>;O>!%9GcwUN%=B9T*Xe+nn9Ski$y!m2^ z^fl53(Cr<457S_9zl~3$v$47QtN^%i>9+8Z^*6djE;n{?4g|pdv%1&)a3ZC$#d%Kc^#%`t(o+sAZ7I6qW!+558AfP9qW z)6+tfPbmN+p^6Jp6jo3eE%=n@U|6Bh`O_p5-#7i~tb+qaB|xTFlGo(RC%c1IRsLpL zY}Ww)<&S;;5feSXLxu9M+%bs%8He7j{R>%x3 zu2_}QO+syplQy3_XH8Cv+q>P9%AOywIvp|&w)ptq>d>&*chf$HBR>kC*iQWP6(|xj z$zXlR{0TKS|3^@>zBD}UmC&ujr=Es3Gcf~1h%S@&W*M7h+@6GD-<=D(51 z=z$kEy;ptRM?6jL2g&I}>Dh@Frxp1z;9_%1+QTtQyKp(pB-WF)y|ozHl4<*ir6i)Q z@B$0w?oPwE6$V``YRnhmxFWQ9)Mvvo3Ha+3m)@?5;2;ty^E7=Q7O0MZs#q~u@N4Hq zgOw^0M&MJK-ZZ}`7)u}hY(0*Mgio-_ZZ-b{JZjG-R-#_va#CGa1Vq(h@nfJ#2Fx#~ z?_aFyZvEW-8EzhE|5=l0E)!inJlC0w*iYyf*8DGloA?$+}Yc;=SXi(ad`0xl|mYgwQ$FeKG!2*7+d zr_*d^%(w4{^2T>S5f6psp0dvhQe1|DMwauruGMSxl#!s3iVn3>;O!O}PfHRH|4>mc zWoea6lFW&c%<*U;Bq#5l96V5-Dr)R?CPjH8fZ}R%Dx1QM&h!DA9@>X+ZbnzyCyn5b z@G>X!riCkt1UT4+pAfKc*JDcX^t>}RH7U#Z6933~C_$L-d+9ssdJM z9nV;BXmAixG56(}<*gG&{!0Ub%KvZVZXJHO{i0@~im*_LyFCdRXl-r%Ye$Bosc*h= zrFl-IiEvS|>h|OZjhD}|)aTVI3YsW?CvQ*M<>m)ayR3~W2oDcJ>Q`<4%@cxZ+u@P6w-bxDA@V-66W;u zwB>fUsP6<9(FFJr!0_5SJBwi@O&xT2es-MeKo;H_4N<*XT(R2y85Mu!PX4wpSk<#Z z4iCRMgWWu|>e)ZYb>-(bE)-!=(Gs=tHGm9IUVHiC1qup^)8WGG8fkuol=EV!4Xs4T zc0}L@+I<};)9k_Dlgf&Z501$Fe&~9Dz(a%mgJ{bMl1j<>sT6Yhdl^LEU&m)>FTVl+ zd~Ho1ls;+-^u}L*1=Jf8<*(Ajfl=bE4?_OXuV1UsuZ?5~QGE0vyF4LN;UwTn(aAxB z9))7zhN-D&2KO&vV+tTsy((DJ$3hp=-~xg=6(wcHsOj!iHozeQ+?bS<6b|4;P-8XU z*4{oo4)jFQbhNaZOh<`83@j`Q0M`k`JODfI_Bj3Si3CVYBBD&2j#`|U?U+V}sf2=K9S3l``GalBAte~!$iMzC&_03KL-*|9;fAIJa?Vg3Sh($gDkcrqB80vsSoP>p;L3Irc zOMrPP6Vqv&uGh{K1Sf46<|{zw#m8d;${CQnGd$1)PUdnfv=!O@jN0`0XS-E-JYSbE z&4jQ;#)!?+T@GntP`Y9PpmF^%SY1)!U}tv@fM4^YQ6QfK9DaQr2e2STn|2A8Z|5B; zcZPd|WwYNKlNaxr^MeM*yk~bKUt`r)a9P%4k0#MsIiZmuddV3|Wl#>`y^Ns%1TDBFt(` zwE%R~M7{tEB5OAX6DtN^!DycrIc9V7!r2p4xbcIhXdvqaZs;J_uqSqt=QrxNgBdyw z2Xm}|OA^rE*)4Dajtv8x@Syz!p+KGwSFC{5P{GSmo#xYvi&r2yIXTCqybO_TY{Tk| z5shfft8bHf|8;du(Xjmlf%?mmZT@Sgql3f#SWa+`-$vHiu%D42Hh8MKsJ5ucVsiX{ zg&M7fcLgwKcbVh4S2?fC7*sO>mC++5oOT8XVq%q^= z+nSL5{VCU;wSeYLdWY#!j~K;`x3xxWCpqJ_Z!3mwIB#_nTvt;7Jei-DyFLH9s&~ob zSXRMxG{u+Cr1njj^72c+f0IVk5-eAqO%R6%_xqJTCQtvmeHutGMZ6#alnlRoUIAjC zXfl}>Ux8NBdo(ny1_!f~wN7APtMF+*6QhBi9v;tUh&k+x0T0g2&%gW$#7>KW8r@be z^fcul23A((;Y?UqSW|vgcAxY>ghp?sjD`6k5?E22C!z{e~L9LT%gB`Z!xTQUrWo-VrF(NnJa#Xi3Wir6LwRm<={{P zoNpfm^DYIkjJ?iUZQ)7~l+2Kimwq2-VJObLdg21;)Ew=d4eVzexCXnXxCp=ezOmoh zYl@%(ffQq~bd51~ZDasSKX*9uMZej;(rR)qJKr+<@|iX{w}8-4FgJP&05-8O)iT526=mZi8o3lyBLSaRQi94dJiiz~bl)m1qT zOb0S9q&A1|Cw{s9zM_WDL|kmC;ojFd{zdQ-SM56u-$~A7gO%Qre~bnb%hkn6#b@y} z>%y4HGPZLrS?K=}M3wQ}L96f1gA69RoH*L{y`SFWG(VDwJnNpQPFUBkcs0vtpj(`9 zD;Aa+I}X0t%9RecRljTHE|3(7B0XslHAQ^F`)7=rNX!U8EkL%u^glzXAO3}aFx*=T zmvoJo*e+gMJKmWGw7h%o#uxt1sgN4 z@HL;GM0ekCFP&{+y~P-U`FiYX55-ReHorE(`U71p0O+dF`X(im_nld$n3wP4KS<2* zkPlVVCxAjhP45|TZvI8f6^;?etcM!|zDaTYzzJmX6o!lmfH*KUg?k6S%g66*$AJrE z*gEEr7X7SxyOxs^PW18D3F5%zD?-(HHy86yG~YiEY<}W@h+qLM`os8ths3lEBm_pR z(0$~?dAklsf#Ie|dHENA^a;Q`CbF=71OmMGKs|&uL}QX(%aK5B0(uV+Qa5R2SR?!m zxGI>XI(fE@{5*$n0>8uzpN|gEvyv87g6@_s&A0STTvXs7%_w4oFZ;0Y26YK-YDkPB zdR5)AxKjg`Z{l_oCW#?$@Q+G;>2;b2VcUi>Jf~9)D#4q(LULDLfr#*m_ApEeN5j{z zb8QCG@|7R>pd6od9cG~_rs2R_0JuxUVoZpNP6<(`U4?Wm4Va33pW|r63NsBjcQB)B zhv~Q9*nKT}Rt}sZg=#2&DPqB3PLcN>38JQPKG?tAX6xtWQF!8KK;E9HTNKp7HIcn^ zA;}DsmT-#2grsnanS>&C%H_fdx@3ENWtwE;?Z1BgT3X_bKD>RXf>L}%8C4`nBB}jF zAmmo3x0k!Yd>3TTm2t#t^t7z*p(k*gW)ToS4g$gQBv}gqQsJ>`gvwpJ8D*r=K^Twf z+w1;hYR^#Y>juT;i+=4udda?iDIJo-#NV0IZ5T^kwp1LsFx7$(d20CeIxd8;qTrw) z>yC9bicfGxeM||3(z$C=G29u+s#MCO@tg0-jC6Fz`4Z+w3qo@WBIk;zfs-!gYCl)q z>Gu*jKX_jWn4ZQpdM$ZF_)E4pN}k%wQfr2YFB$SIHGk)dVLmQbG)E(RS|_M5NxoaB z)^y9MEF_4+(3-Dx70XSY;?E1&sJWg&aw6}lPl&Gz{bd#zvlzBQ1x<|o5Ku^!6L z;ZnZcuX?~+ZFrAel2J-WMb(heqFpYmOrwAfrhePeH!Ub)9T-C%fgaaOYdMFF!(cT4 zf9vQROVw*fs!pbi9=y9gsemvyS5aWINS@{7WYdoDt*z#0?x_;=v}D~?_4;?g7K=ec ztB4=5=sMTcjYE7mb6#!-3DAk_X{>Yb9!nZy_a>N26yU&QfbeQF9zPy=H&eMe+3tZa zGf;8k(fNvEg-B*s(z@+1`J%2L=9?v&PVIEYQf~qR71nVz8Z-lF8Q|G%F!!Dh9le~1 zYG-wEWK*#;fjN79<76Xz%1(4s#H9XO_q4d~-mx4I7ii_mmzjP~sn>I-7D5$z@AtwE zol=P+hsiaR9#x2~Gq>p-+M_*T$50#jet6X#OoJ_GJGLDoO}rUnGSuR~kdMU#wL`eY zSg*BP7vE2*pKsqn*qt)AN<`u9FCib~#=Z0!=|~?qT}J~a+V;OI100iO?WzFV!sWPX zY05^S+<$5CA6|Pb^d+`_EnYE>{Q(VXc52>;^OhjC600UpgZm94q-~&P**5P3<&L*? zWIN*Lvf`Rsre&I8IQ1f=qMh@2bF~iD)0BTdSiD})SgYg0wfUxD#vQWmQl^ukGM9xu zXVAWA2rEGsc03bBSPXu%s8 z(c`FJZG6I;H4yKE&!1dkQKWrI9R6zSp1ZHTd^w!d>b&nUXzoH99t5&mx>=l04T3^z zl4?ygnBj73RU_aw6Vq@_;f!~Joj;j9$UiglGjc@=_uxA!f7(pw>@M6=cUU)V%J4Pl z?p{VnbI6tH;db^^n7fIdy~l8mqg_mu-Ko%c=FtU)i z=}Tu@20UiF?Vl|?1vxfOeql`H!pg%YA7u`8YM#D$h}!D)MIOtTZSYeq>wcP?FZ%~x zlWw>d)i6O!NY;s!?rfy_o)C9tc8P0F>l$RQZ_Vxb*!rn7u*MCnTZ!^V#0uW-Sw_U2 zV{Q#Us_+gne|n)5-J*x;Qp83AF65^i0yEBSD?oj1V<3|xINJbahv*V1PrBsW_5>XU zhj`K~w=5WGcoB<^dzX(%n1ht`^7F*c$&Q#fs!rSpS=O*sue17+MIWTzkw2-Q! zVv!00Wfw--2pB0qi7Oa;03I2we|cmCYs_O1&fw*F&7ZXG5(6z-&`A-qx@a08fC1f3 zJy5WRx6;6*V>Q+#j9PF{aFEa=bw{jw-xdaN1{*CI%=z2?o^4M+!Bq{=gEzng&b+mC zJpS?1Ze758-n;{O+e0+I4yV)@BK9sfn`DJ3!jKr$=HPt!X88Q)5p8 zjVZ7oAyA$I4Hnvdj&4;)Q`J(@ zOwC>72{nTTEf&kMyYqJ_H7vq7`brXaA=@9?Ubwv}y__5PG09(p+7Z^|b{hFYE z<%~HjwD-?=S|DPb1BdLZ?sFF1>j&Jw@POa?u7-Xy5nr+=FbMMSJI$S*Nzq={gjJu3 z2E>pc4X_a2PajrS^`zd@x4d`0INymz7i)cbY)t!C|8+8ri%|2gv9v-1)uZ9My1Lgnf6xFAp9G3H%3pxM4*&!}_ouq)n-K%OZaoyp z(Rs9)V6w|tQ%!AVZmz~=8Bh)`_4NS)waVH3m~P7d1`YnqqWVCs04P_hv8K*P?BNiS z_Dvqk@9akg9&*1A+fBh_Z(hQ9t!;T<23{cZouD3+lX_3BMBX1w`2udGkNcTQ|-|n8>v&-Sfa2U?;#`C=Qb>CO4LqrlGLlo4|B7ug#o+%Yd+zR(5u4GTC z|LxkYj{RrN`&V`!7N%hz^N%qA?@&a+v1sS&sfDLMVVO3Z~gBUtVkn9Ut2V>EAmPa7t^gbP30HEGHy&dXqRv8V?N5D-|Jpi!=B1in@%NKwc z{YQYY*ff{=QFn<|*LyIh1(3eD;T%6y*@L|Ar6=D=dfv^^m;D4l@&EjpKB23^-A0zt z!?KNOl+Jbt1e|APXG=;*q)GdEo$R6^gP`qL+<1KsG(v#=@fqrAm(X5e_RfwUYH#O{ zi;d07%34!f3vVp|LFP@tptZLTa!VRLHb^1&X=vu_z4@Wgb6~9qmW^M_o4ol6xeAOB zIWsFOC1TLe0n_1uQp*mA+1Vf99ug+$wf*v7^=UMDmhW@W;&cGx)wg%)fcIXt>yio? zLTs1(F(GV9u)uZJm-qXT;mdVY^f$br6yLFLinyL; zopDSU7#I)%kM%(?g`Y4s&(p(UVPOG6vC94S*4Fh5L>;2IQ|%bE{r7w`KQ=&1Uy*S? z4u6%%@i^WH)S9cwUFSsG$gV>d7nhRi>Vb^lttU34Cu$n2LvUT( z4G?|5dw+f~z?8dR*8@_j5WV);7udQQZ@`u@Vf|XL* z0e>tRTyj-bQpoSaLvZ-w5TFy34LB!*n0$XZ)DUpN7CjWt_EM30UiRv6@VXl5l7u16HSSE2>cq5D*(;cfvoEJKmF@w*wH2mg`$a%)XF03PJAu||ES)U z%;BRTQ4Gri8h2jx?(Yp*K|xOqma!Nq-n#r%dR<@xmQxBSd&g9cv8IbC2 z)omMHQ1o}E^J?L^zQ35PYyaG5kF0q--YJU*89wulH&gf6>39(WXr_^T)R9GiqZ~>*{k9#mQd^M{X+6#p5w31gYRhK( zs0SummXuGFU{Tz}N%qb5ZG%Z{1x?2d!g~ynHD&d9KXEAfPs)RM1_0Ud_~XHyMjz{b zi7hG3r-$AihhIJ{kO#Qc%HF%Bq}b#t1-`hr@F9~9RgYOQgNKK8jQgAXkq^84e*lhw`)Zm=!ue_0!KBh$sEvNB)h!BPdyKrrc|=5?+R z2BAgZ$^i3A5hf;#TCyPoEKOrl6gC6rdZ%_vn`z?D^J$CltPC{+HW)l&tcZSRs;cH~ zxuX_?X(lq!UbXanPU5)Y*buEhZp^t=TrnYeQ{Ivu35(Ij(X}d1u?a&U)TM6S-B8tbxbFf-#CF9*FK~%3*P1W=JW9oUA&Qr8or^Q{`}f!X7Q7@ec0U1&HyF( zlP)|pp5;Gf zhtf}C%q-b_SqvtKk-X0+=;#WcVSF4|E1zb8-siXC`HAQM-w`U!LxR{=Uz_ zYQWh_vh3w=EQpo0byh~kJ0%n$&BF!pYnhmzT6dd-T-@AJgr0YUbL|;q`0N=2kVkQl z0U2)3)YQ~EUl=fKZdYLkQp`nKI=Xm0-tCAGo2a~lg%bZC#?%=*YMGllx#yw!Ni14c zdfk!S&y$#I)zZy}1vDuo8Di4X=@Jw$MCH{)3^Tjso)6B-oLy^mqkD8Iwi~Y|YR%JB z3QQO*Qr)-CaA%6zW43Rm*68obkZf75dI`N(Z7GlpbyoE^;_^S@keN+0pRu>y{z*Ul zcEUNySLHg;vxB`MgdkSBo?(6lCwTXv?^$kdRL$I74S51@Q;kNEfT`SGy-?1f9}b*6 zH$%=b3hpEJO-1|nCK_y?mCWgzkl(DjbKZs^=x}n1xBXSzI!@yZ6ht8$+`Fm{eiDL^ zz57Wi4W*F@@lUPgKUPXOq;4Vo{6i7>3ojfTDJ9LHAW565=1Yyj)gI*Ybe;xuY|Gq* znTcN|z>^SJ*jrAid=7`?*@#li-m$##P9W1u1D7K?Ir~DGm$xwJ<{GlFwwCRuVI>pU zrbzuA|B4TgwLJKRfa>a>J=|l!9HVJs!W%r^_Lev-`{XRmo-qO%G2ptg;whZ0fvz|v zCZ`xr|Xnm#9vu0;jftt{^wtm^SaK8{LCjCq7~^_M&#r?pAlKt5(6Veid@of8RS9 zbH5>vJ9#=ex{Drf(|CF2-o^eUucWcU828=y7d|qNnfmj3vKDO$ShXvz#+yuj`jO{c z?x%V28Vo$MM;ki>vi`?v1jR8$hEnTJmlGGV(`i4{%3FCLJofrkyqrx7tRfaK^nQG`z9 z)b+676Bn<^$;l}za{~fr#}RGE5PE6fC@_u%6Ae)$5W@qdc%$OmyGDF~kzK1I8D;*W z#&Zh+Dg-w-Fe*1FwYcTRgW}DFLKWG!F>z1K4Gp%0ygcpe72>HO*lv|NMF_P-k;3u* zuwA*a%ND=`y4))H)`H{B(6yF4|HIGOd-=mg>RqbwuSrRq8KG;#Zhu1I*E{>1)$FB5s0if z@-)q!?l#hh(!Ih%hH{IVaxoLv#X=ld_0u@rdO=tR69Id&aD>!Y<5T_05;1k66`vWhSzy3r#@1q#Is zcKen&2g#so|3cSDN$=f)O1&1^=O`*0x=Ca^cs>{z8z1okP8B@z+MI}&2C*PoS~OQz8u1Jv~# zWB_I8UtM{N$4H7#QrkR1bTnY48SsU?_O)h{?VKiUa9xX8T6>$FCBxAr^Fypusxr7- z(2MD2Yw`}se$~6KIr;{lnIhpAiX%7Q19xV_pX?_z9?k9V(ak>Lj6D^<=ojlLf^!)M zYP>S$3<~rD*#jolx1`;csyfNDC;NG_Wzdsi^jL#wxW~tJY1gY9HzUq+yP5WI=V?UI zTAkOoMmEQ@IJPwMJ}aR@5uz+ls)y{pTD^H3^NpjsM3e#EdYHj}L7t3rzw*DXsN$qj zM+Pt!FZjk`L7F@lNL(WL7NTGKA8Ouu9MaU3JCoR^_s=l9q1Yar665IP}ewbHmYIZV9r~;(j+aBQfuR zVaTxO-cnulTl4ldy1;V|7Jl~fjMFo}J7KpVv>mlZyYEO^fVi#3;_Q!Xk+HPD#pRX<*(mw;i_zKWpD%Hm$@n zjmO!4hglwY)!lkeA1CmE1mRo~DnF#nqPDKit=5Qvfq|c&ABY3~5^u(r*kgNox`F#Y z3WbC;q1p(51rn^G5jFhfX>#I=qK(lz?ymZtQAXm@lcD3Cb~b#?!W4Z+l`3c*ejA!Y zpIGDR?d;Ig?%xO+dxO3v$jk5cIW1rBD>c|zn^wlzhu%8oW*J^?FT5Eu9oUFd%d9h~ zhXiY6OG2SKxn$sfXx#@96He&*A*v|nbR-Z8K{$B)=RYL~Jotc~rP4Rw6!7MM)heJc z(wQPstV5)d-CCUeur6y{L2+P5G%?PZyy2&zzOYuKg5W#ag$3F&J36)n-ceR}%3pHA8*9O?vcT%x@RA0OJ$MaVDbTOW~& zJXNZ04h)6Sq1uAmn^Iya7P(stlvC`ihj7M>yv;|8wZNSqT3?(ZfSS>7JeU03q>~E+ zD%(+Ql0uLw6bryS;7L~!KiN8V6z+0Bs%XP@}2Od z|LIs3pmqWw{V(y|jhzGSyP*YMm@5a%!D#Oqq5loo~F9`7*TAk_>x`J3BioD@mGr6?Vsu)Cf7@_u%-BBR4`^ z7dO^UP#<$==V$kBaa2kFOead4rZUrgA${J)@sL4X|6b6a9pmk{tduJZv7gW4BMWcp zUi?WJ>k+wZ6)f_dYb}uSCAwLR{Pkn{*L?^Cy^?OQrAa~dfT+~DE|qFaW5g?a72dT1 z_sOl#J~uG8798gpMPyc66>6u4L!S`>6c41XkhtXJwWXzzZHk_TTR|6rb+-f4!c$Ve z3ZUSRC{QaV+z@4t*SUq8i;H&~AMuhVAyC5+@HhI(cx2N;;dSa6tTr`~`RO%lwC4-A zj3ukw-ODC&p$)xvxUFpSogNsuZY=f)Jp7lo8Wkt?BXM=Aew^?A zQ{xi9t>U2H@Ra26FK#yX!_4m#Q|+gw7bP~Duwj;ORr~IF)T_^7jq5csq_$;Z<(vg= z-`MIkq>Ou9MQ=1-wn7JV?_JT+U9q#hRQ_VLJ0S8_`vYljyTIG8TbMsy(}+?Rmmf7* zmn$=qKoXJ2&E4(P3CzOj>b`>@Y%F@B71%Vwiix<;U~J=!v0|lh=BpeSF}WMBX%?t( z)qpHs0iLP9vpMwHG15$fx0xgP`lil= zq`)+4kQyDziXqpdDebbBL;JziQsu5vNacG|Eo%z1Vt3R$2F8ccjZ=ji zN@@QeOq2Z3-05JHU>IMpba3YIkOl(UWlz-9QqUGt8_;dK2FJXV)GPtikqX66i}zj} zsCmsX9~b?GIX=Q+uS^}!T-cRDK{p9U8TU$CQkh!3QRa|KiDfgRlC| zGkEO?rJ5)QaN1?*CJ>-B=~~( zy|-122l;LZvpa9+)0h>Mu|jGQLb@aTNM}qm?g)Q^hKT18EqOz3IY9l@L9*G=p3<`n(dZHv~nv_4|As=4YvA)+B)3Y*8mUC9*T%g%U$Q9Mz zRMp(6px;Ek6u?qPXkpa=g}#>07RK~FwU+9PiIg<@l4KtFfEq%~SqXQGh81Y3!Ny^| z5vrcB$XcUEs?7m&(MyKW)5w+`LErbhV{%V)(d~5NB*=!B>dCpizw%WK!-+a}`b|ox zA#%oEzmg{l-i>|zUq}ZAAO`GTiTDO#piJJp%#~T``x|9Rz zemUCg@-oWg8QPlm$>~OYO>?%LPvpf)UJUNq8W#p6v35q5COrIIV9Xu$(j@w6XOk1$ye~fAH7(AW4y2+qt0z_++O75sK=p$6)abT=pIuG zf1forJvB&|lM)0(uL(hP4?QLqc3I9Y{{ZIwP7JMZz{t1hi*l&O9?P8Umth1?o(^Qpv~!{!YQEL__!#;rGEzi@n|`Hw=To6{C1m_WqB8AM78vvR=9_ zTGWG?!#-F*KpD?e&mQ(Pbr<1S67*#rgiK8V1PcCO&IzADeGJBsKA#}GVH)z zlAJ8|ygLzTS-Jp<`amO#!-XbdU2mighA;1$?K>;)Do5rAyt_KH-2D1%T6w{w$ zeM!j#+IKk@who|VXVrm6Bx1(vaOvJ-U4TWxzox<>jQN3kZUws&A90>0V(7QcFkVbT(! zuEEcab3b;rF2bA)J*nlyaQ%zni`r4znt%i}IB<%i}R8>0lW^~E=TYXBv8fcQ*! zx7Y7L(_H_I)&fT9cv^nK?03#uA6?HIzO!wN^!1N~vIFw4MW@Wcoc~#n=j7%6wg6OVQSE2*9l^F~T_t4$wW!Ls>hck+uHRp*Y5|$>MFG`RVHi?3f z$q*03qU(?O&Yw^;#W=a%l)KcC{Vrvz;nCa+*LG)S zZkIATL>q@2^ruO=Tdvo=FS#dyDc>JHh^kx)dYL8gR$DAQ9Ms`@gPzS>xxhR;dLmrz z{;cNK{HvQQ(NsRLM=%p3y$c2AL)(~*PmY^0zDqn>yFC&7yX}#rt0j*x(7OgZ{K-4p@<(?L*{ck;a*}&|1uH?LlR~G)WvGRobo~9YqY0|RD%ZV)y(Gq>e7|_}<~!6yU&#FHV`zdrCIsSYk>Q z&nD({RebHM@fHSVSo!nf=_4D!SPRA#3Os#WrGPN!*@=?}&eBZI0}m)21fPH=&7Cw0 zyS#LD%J>7c7ahqc7-chQ)SaT2i<_>yIH7x+@Vzse&ALQwn2Fmz1+_}whdExEO}+p6 zT=F5uVpFQFv8SmNbBwBb1g{u@ZHF8q512vh&7QR#P@e40>T;0X5C6dv@X-azBqcTb z-uSVdh@nFM&_j{tCw8LXm3De<@4sO416Inn4EusjCRH#ABf|$Y92Z&!QgK#x_LJkF zJ>W)ka(5SSK|%MubMGH?%1FEl_lyQ6{=h*dp-W9oZ5Q1$IPbC6j~2G!a|VU8PO|`d zro+Yu#LJq;YsC@#t)@W*ZipeWj0s*1%D)dL^~A&e>2?-`(Gte-M|08oZ_!luTnhxJ6ff>>r4$J6Zp9&3aS85DaSg#K7ToQw ze*4@1-TRDv#yNNVH)D_tX4YDB%{AZo%JV!kfr|1HFP;%SLqkJ*Ateb`LPNWMiH3GB z?dg4>#cZEV8TdfAk<_q9L&NO&^LHOisQ-?2aC8XpO4VjIeprO4*lLCKGahcsgIBUP2ntifw z;^gc!qoa-&k*UP=6@({j5K2WPBU{v(PY~MG*4R`=RZW01Shet8j+MyZeH`VNoi1~9 zT52n1WmW4qj)0sEl=K|Xt9|0UP7AJRdD0;cUr zMuVEwRGG(>+e!tpv5tlQmNDL+SAtE#vr008J`+6tK>HYprN|Eb8K9;?JjrN4ko%5! z|D}b+dm=MoIo!E?;KVOpik(ffBX7yj(N7ig2_HVa2MlxE0`wgX?FX$ryMK;X~vwLM>mfU^u3G=2EX8K;i zhlUpZbGv6cQ5-Wbqy5YD_9|2Eb@P|`m*d$Cn`)!Pg}s`F%TZZx=v)`K9<$YeoV*NcC{3U)raJ&~3b!yCdW&0zL%kUV;cr+)i#uvw0m_<;Z5jVYU?3B{0X z-q~37je|hx@0jy%Xeo$$X!(&+;i1gl@`DZe^6wg((=8s)rb)M!fWg==?U;XP_uoj# zgpKkl>eF3Sq+9u{T`Kb396a)?&Q#_FG3_}&A@$_@>gAk+$3J+T$oS>qSBEEP zKa33!1;bhn$3(^6sj9chQO{#c$jL>)l%E6FdT$qFo~Y@^Abg^_Q&?T=AH{8p`ip}$lwKA_*E%&3Lfr4a%8-qO?>_2y6`7)Hk%#H}e#OY_=yA@{G3MJp zL+)j)v}Q>Rg!W{EkRM;G23$V*l~&k+L&yd-SMX5+fpmyc$KwgsmV^Uidc>znW1I#h zPgO7+_C^yvw`;nBFQ#90uH34PD*R9&CtJoyGR?Z<3}iEKue_KLlQxdbU2Y&~@ad=`Izg9Lpn zVd&$BnXrSB?Hawo@`RCS(wOnDX7qI*fzyUT2v(vON(Ik-^34u8>iBA`XjK!6>vzef zYyB->10%@E;{(T}Ig$9}i!15^C#-$@Gk1TFM%a;By zTAS_0+0fw}pH`2xHy|+;gF-gTMBw!129FN?SsE`&?}AN#W)FWAstT)l-vK+Gv2}U^ z6Y_CakyY7#t459$$!)XRS9)28~!*6{a)D(lktMeWUArYy>XF5&Eo_ZT$UCPw&?dpX@ zdq3Kxs|oJho^=V0+L!L#EDQTiV|^&crizkq5{`GfwbNVb4$|I!(&N_w^#r*c?;*3~ zD7dPryZZtOh7#-;mlkh5-M!~3%=gmkJO)E18d>nVxm14(Gr&f6x5zrBm9%Cdbp~RW z*|A8JJiXlz1nRVAQzV^CvMIl7G*wuVBA8bVIb%;dfpZEqp7|f#hUv3Q5-Ge{nC)iz zxw4qBc*%t5R9UCZ@xFU}%~2c+9jME5WR4`Ik|7;ncG%{=*kJH34Ys4!Z@e0sk8&`! zjB#)IZfVP68lG<8#^TkkpzUiwMJ>KM;?T!}zlXP~eTDoMFCbQ)^rq0xqG-TJH5**i z2gRKQ{Ipio^WDBB^;k}Kx=l>$UY`GL_iY)Z`Sb|6s9~y5lsHvHW%<^o045fuqtRRE05yXJGU0PF7&hs z#!7DN@)m#xHazZz@e?!H{J=%WDrD2|{Gk(DRz`*XtK>}>#Izzl3iWQ8Hxf}l75lWu z#kKR81ONECUBu71lz9+guIcP=7N*yzd2-wAoE39FnRSlomxd(xexh~6^ly&yRIb@c zx}18-6MtC`cx2J#nOSsALd`**JrQ3i&p6dj8Ij9^gL^Smo_)bSC{$}HGk%ld!nJ77 zf!-C1ptMO(C3IWb4@~(A&Uz95J{OxxtU_$z_MKr$Dh&uxFnzmsvnlww_qLzLixi)> zq^^uDibud|g>3U8Y233PpL)hKTgBc$Y;8sz{-C($yLv1Z1H&w2hFI(RJO6BKdl|ju zDI2pf>wR%j-j!nG%yBtoJhGuGBnu@r|E*)DlkZlSTxu#iOo~_GRhq?yRgVMo9i>^TS)c zqK*@{(^CcudHDr$Li2d-KH!0w0k}J!EC!}J;gKXht-L$4)ya@DS4k>&Y^ytmr|VA9ra0Z-(=>5bek>~{oZi?upGEz z7w5DWf0Fr(yL06Y8!TYBNiKepw10a(FO2D^g>>`BaD!NmnyM}`pvttL=ch$$d2!z8 z#Xbi-?q@Q-XYGL`Ou%E%8VTl63-80O_pkD-QxNb|2$vs>K24jL^rl*`Qmkn}c7^do zYE83CjhtRJlHE-as#}%6SOj;Y9NAY&IM06ZrKe5I z6?Ap=El+nX1W)ug^OxaHEIOUMG%$(;)(>tWd(cmOw}zdS<*_VxINz1L_5?!guctjQ zR9jn5#&@lCpfGxKqk8Oo7j{LhWU~uLzq{Om%|)ysho8w1;@lvbSDFItsJBy*D^_Bd zp>{)jWftdmmP1MoTFnM}_H!eVrLqDBFH&mP;d-YWq6@d1ct$=%P9 zi$|^T6{74-F791c@qv7tFABo*?qb$=5}WnK73y71xv?&uaB>p8@Y@@p-=@Njt0a5; zQo}KC2|nk&Q+Rip3W>banU!x<+>D+0c6U|0P)0+izq??ax#JzW#kV=$xP+5+*`&-p zFQRo23$e%=9V_87pE=#c>pbFbe;1bh%__B7^QtWp!ClAUCft&5NV~TT%hotFmPVWwmkq3c zmXC)2Xlg<0aE!@~J4J7Z)&8_$tXXFye@Hg?HWaIF-160kHu)+#{rYOU^YSm5CI+V(8fF6`BxB zL#%swQCRLIbt;D*wx!Ld@Gh+cR-A6Zhc&rfJu2+oaa5>GaG(hi0T_GP8G)O^ zimUIZKC!Nj-gmja5?JewbPs9R%x4kWDj2xn%iFJZFz7n%Am;tFyg8IjGLvpvcQ)v< z2ew)A4#pHxr)O~p{=L%=!x5TuV4Kq)Lo`9*vkXx!D}D)+R;LXzxoJ13lTo|;^6yHz zbx>Ktxn+en*C$gB%1iI_fm|-1PB$Van>Q|n9lhCPt?)M9hIy_H$!Gn`vx_Tw_6e;z z2xKZOi^Tf`nkdz^s$axjqoZcaE?KZaUF&)qYe5-#B!;u|(ganxJysp+%l5mNW#pH8 ziJhAD(wl_dfh$gsp1Patmq!ok-uO!AbBCCp|Cay6{NnNHejQS|aiEXat@N9G?r*9W zG_t=5VejAEv7+1*S%hdn{fO_)N*^`Q&=f_R$Yl0l&mqFDaC)`wP&aCw*n4Gm{EFZ( zC&=SUh7K5S=d-h~qD+WXvx$bko+Y_z6~F3VS?CXc(Z6!lf25=^cK%@kSWsT=q}Cj> zslh^Ddi;l?cP#70kw+ke4=l4m=U`=J1@5@*UrVlvn`AE}rwoSQ?|^M}OM}5C!`FW2 zsucMwK<0ax!*`LSeH~Mf#95@FTCSLJobO8f2)NgRUywRp*Dabu$V(5NfV{?0_=SvDzOiUboKPO=J2h<_5YHNO1$TEA*$cU<0CVTf{ zTE^@5UiGb+6-Q3KIl{5-CWzlI9vQx(gfCa7Z9I7LN(bAavA*r!xqkqwh=W}fHySnI zge>B zb6ZP z4QHAjfrRxvocb!_3d185n%chv5OmqRASnTETw_@p zERvxJimdI66%;qQUiOaj~nZ=|rZH8Rw`sEm&hV1!G&^V@!*jLZ zCO*!gzIP$&1N5=diHcZwc)1hn&YPn~i{>Mqx}Keg_L~|3_he2>O%G1jtQt*xk7hb@ z9+r(|1!ciHeUD?9-2QRxqZdOvf`w}lbNq(AH(Gm>BUhg!Xak*TxNZ`)G5(qvU|f37 z4QivVQ0f-OKEiJ!x#?m{o<+i?bBK5yzI=&RtgA>d67#xkdhqykuF>52U}j)Of@5LC zlK(sS3@N4keRC^wY5~$|rNjAyIhb)nPkoegcQt^;6&{)w9c_|aCKGVL$BB5Cv4`o; z8uFJ#_%qb)g>_mP6Noe7@$vBm^At#SxQ*LRZ8~TcbMm_7YZeJlz<51CbMow%jS zZ%{_=%v5v_*%ql^FC3dH6~sWTa*%CPyg;eU&mJ3vN*FW_6;B5251S~-i~Z)fcZ@nZ za4C^b!@2slp2HaYeEAPNFf7~Ioa(dT8r+#VVaCqJMv2`UoT@7;FVAx@B^BMfs)cm! zi6EDfl7jw$A5>Nx0#>+vTR*=eU}I-jNEcLg81IeFtF}aj{Q!W6mWuE2`u|g_{{vz8 zN?)jdiDI0;3rnpC{9ZI+l{jZErni)LF!lm3HMNGA@eypEZ(F>ZVjj4@qRv?A3=0d( zn}n*P!6$y21`F3}D1pbWfTM(R{23TNt_N$d_qn_to4Vsme@i?*{TDzp2M9rT$ZMY^ zrpw*(?zzbdp}!u%W2{o)5JtoCpbnL)k$ibMZB{n*pnU*wRq?7f*sy%5^rm8l5ii(? zEipJa7)`WUr%`(OomXGYpOfTXRxoVKe8ai+d`4@T9_|h53-A< z{>lVKNzaGCLZ5EamY~Eg6DbqP*grl#J~=7g&|smZ{e?s#ySpU{RCs^BHCn+eJ>Hz2 z){qRDrpc7JyA_yyZ)YGAMrE3Hu;S)gR`qTUooFF^<{SlEq0jp0=&@EQCwr&&q2~`+ z19V~=SBo8~NE;(bSz?zH9`2ka{-V7-+3%shn3x!c^#VV4ZCR=H4R&v+b9&wO%k&swNLZzLk7uCDInB)|8mF-0e(qGB(N*YOf?fln}r)a}IKAB;wkv$m8M{;DLJ z3Q=&c_9Ouw{*lYr`(piEx7OOnQ8&61LVQ^_Uv;-0O4+TDhEUnN+JQNc=Ztktf7Ndag2> ztZ8+ZGfiGT&9aM`q-$^5q5V5GVAzT?n_-9n!0j_SIXU6kD@8(2@>fd6HY+tP9gY>N zGzXtPw6e0IniN^cmkZ#v8y+Ijatj=s5)9OcVLy#e-NqkIIxQ9Sc_BV<^W`$TU?usl zTB&h!q|8yHAJOqXU96u*g4S#fgEd4dICH}YGf-yoOVmmxyP%ur0j}Yn6;B1(?<~?z z-8#8*ieP0vK|I*YEkGdV?dRjY*Z}iCd$5o_&<$>COz!0)Gl_Ffv&%)JOwVAM1rtg`PvZCz`R+FCh7L#8p2?+_wGcq>0#uU=|E!h$Y((0leO}0z548%KrZ~_Z{ zF|pvhf{%Y#ixIC_74WR&k&>TwuJ!Hg`U0EflVqupmrbJn&!wcLMdpE{e-P#Iz3C== z{@AxQA~DhR@;EUwOFoUi%JRzT;U7pgjq08V@PWpW{FMAF?7p)xgtTKTFd^tm^cS^! zz8&AY22_61eBvX;*4-w?+Od0OuxX2AS0!|nK_>{)7UtED6~}l*In)5X6zyvuD^Ot& zX7%#&8W|ahjEsb2KZG%wm~2c>PiLOF@*}Zntq}rVNEVK^*!OZw^2O)RxJ_R3TUqut zTdl-@_w$p=QA(7Z%!G8vl-+s0d&c*b0?3}l!T7_Z%`T@dP1I@tle2C)-dWL|e%r0& z;ZcPt7Jx~D$Ed!DLNz(<&axVGshCN5cwFP;-CGXa*j&IW3(|2WVATf$XlF2KNxHRE z?A((JHvd9d1a2s#x3SdlJA-u+%;nq0$Wot~8g3No4ZN7oNz3`T8ns{it+C+3R&Bg+ zcPu%hkGb#0IWUw3@8lqT0bRGNl|fU&C!ZXO1p|zy zhS1H@He5fY{+g+JhA%=00)a|&;Nswdf`S)B@AJp8L3V~ovte|017cjkW@^qJRRw$! zr%fh2a!dtqpv0W5B2Lq%;|( zUiA*q%Q@Yr{YuToN+DC%kR4x-5C^*5%xW%sdp}`^n`d zn7^~t*43?TY~XxURaIRoE$d?-2TA1K`?^uSCxkRL3sf3H73sh;8l)7`0{hu*>%`rw zTfMYQ`c;x4nlhh!Ci+X$l7l-+!(`$oLkFD}zHDF&Xs0cl)*9JPc0-_*Bj>h_4b$Ce z1S^3(X{ojAhGD5tTXW($5GsJO{boeuKLFlQ0TuW7*=J^E0M7_s-QG@4Ow=f#@{?$! zk$=#sa3oyC*V?Yq?|FA2uPn1w664T4EV01dQ=u)T5Vw$&QtNn?bfhjz z)oE;x&3Z2_=&|54XTNA+Vb!pynH}Tcs+beS$~P4jx>58rn;YmpQ6N8ED#{JQXXQhd z1jv@a$beIl)jW%LsFg=JqK2K_g5~_lr=wKGsXcVu#wxRF0e0LVsjSVwZhSx2^E2xf z-7(F;o)mY@4eU+wF5CNQz7!H+m6mgH&~LuJHP*;a1ytbGp7%C)TsR_%0_LFwq>dXs z3ulaZ1yqh|()H~@os0}&Uq%w%G*vrlnZS!Ha=tLC*$>v(KX<*r8yb=n6LDS`A4ekM zT*;TNa+;Y_Z#EPda2qXZc=%3my3Es#+qQla#_hVauQ zM>Pp)$h~@7kKVbT?tJil97-nC1kfHBc#QurbE5omet!PPm~SM(4<0|K^(g5+V)F4; za;7@_WA4R}K7+>^s@e$$4yf^2DSCzh7x7THdGi75HLXBNgUX~()ruj;@;Th0BBd|p zzd=o2i&vxwcL%ctKE5kdfpVT&$Emk@@8$AQ|2KdCwGtxx^%DPPxVMCV0TmXO2I|dx zVW8QV6eE5z%XW^y>+qtTm2PY-^u|vY!x3XgSA}~yAq`?#)OMVPnjMZgBA{g9ovu~g zQS~yRHv5RAq|5bWYr*6{tCc8)v^H-`2d{T<5K-?4WG9g9?4UH#{5D4}+vN_+x$4-e zv)B-uH92MTZF!Z+84^{G;cee59x0>nVHdVTCa@u^W|269NqIf=5q3sP@-roDFV~xs zFJxraRpP}X(Ccf@tCMZ`l5bh(M$LLEm+P73R{haW@nxJ%a%z9_D1R27T3$<=yfr#i zsVrW5vJ|NPcloyRZb5$QoE>iKFw;-EK=$+xEr7n*p30H0r6YzFWrHn~=at-4!mD^P zwsAHj^S3PXu@LS7AcG$_%+VRpr#fRJRUIf_9@KIw(!Cp78AkmMBb^`-FuS;V?Y)-5 zy%J>a1Y;8#Q!^{qkR^4TcjQe~Ees7Kg{c-2`Un>|&q)BiEXDwXa+)+*74S zEf$tp@3l2Vn}oEhSzbD|^7(2;=&0xE0KlUi7we$O$YC!Qn;e}%FryL?ucPakOgiaM zowq2S)VHoujWesCtAEhpv95tcLB09f+l{;iZ)r8T!jkp_53*TFPJA+K~EVcdiD z7o=tiF%TXven5N}gu(TlbBlH0ONV~!1-(*6deDG~)5hf!e ztJ7wV?`Qc`@1m9?EhUvE=t+bnm&h9kl{L1f>XM(Obvo425$HeLQjRp48d0X^jLX;* z*mKe?Y0gW?nY<-eRk&uDG5GpH9VP{b;W-9){yL~HOi_=G!n+1w|CK;_^2Sf4Q`>t+ zGK||O6!Yt69v&Vklu-iir{B3=nshFoI z10 ziHUg%>2$nXtG&u|``4<#_xUJ*<`zEjFPz1c&UY&lxg*F=aoC^$@6U*|09ja?BY-k0 zt~iK2eZyWu-${S(aIJeF2uVfCUTQ5pUxg7YN zUn8)#ZNXf1NTdTh5UM^jBt-kyDB>RCR=)9vQeorV*(7qpVc?{#JAOIzK$hh+&P-U6W@)=i`Win6 zSo`N=B=;!Zg8a#SzJ9--0R~0&b}%zz<0l3y(Lrdlhlcb6JC+!}fbzOXh|V^DqXvSA zCC07iCb?h*(NKcG0F|}~VHD}XH|u0o=@}fZZxw3$koN^w=eD5@)7aZ|;9#J_&fnY& zQ~#}!fO_h8AANguhtbwK-pyS`ASUf(i>|RT6@kck=9dlDq}XMjv_53VjL z#S!$vaJsW7kq7^>`y9_Ii}uTSOYn>`rswL)K!orT`+8wyuj?MZq1^Plg+VFGJ$C1o zC1qA?dAHq;39e6v-VPRJk1l!qPA#|c9Ei_sYXZr4D7G&g&wqxKGUpXyzW^TDkFFfP z`{FI{C|^BQSAC1eQ&-pP>G9gGPTrAeSig?^Gitn;;b@=M7OTgY|4=3g)Kff@gS-lB zP~ytf!KtgA7+ny}8CCWCMv?Hw-6g`j@1lxmkJQoca8a?D(sk#vTABKSh>iZ>1@_*_ z>%J!?uAY)zWw(0$aK`r&j(D%Iq_WAUUY?P87T+fCCiVE8xO4T~UT@%KFt`n=R3%Sl z1cICQ;O6??XV7sW|B!3=kNzwJ+;C<^D|q?UAycT^#FGPE9V1eWVQNR_>+{b%G^GS1 zEx^J_YkuZ6@V0v{kRTFjYlmHSKQJ$E{Qkw6abZd1gPQF8i zJxEtdE#FJ^FB&GE@VxX>9Z;Hf;J~B1D|W8LI)~&tFL z&f`?6QiLt#oTMa*SiK)$UgVaQ|kDGbDT__)iHk6c<-1 zW1gls)F!He-@Fe198Fr(lRM?3Js`WD$4gplNx!AEHS^5 z-((U?^XNIq31xEh32Bj1-*Q{f{ez^94>$zWU;#kQt6)EoFx+QA1vze3l^~bPq*V><8mZ)VP-J-y`+#Kr z!k+(V#;@E7%Svwcd@8b^&-wTOJ3&6p)xiSiXi5zK_dtYLdcu0c7uUI`emAo;Vv`l6 zSM6(1hKt9QZNwuSS@jPela4Mr0ph~1Y^?ml>xOro~{d=hnKk zWfXniR?NJ?uc@>7CZEc?zPd_D?i*U}}P)e1+Qar__RaiP{O z4Leu?x;I%xJd3Um@p(4)*4NpXXS!s)%Q;!sXclt+^uwD{(!6h1sFay43TzESwrY8j z_l4(DpDosWN);m=l+gOUe7MUrh2MMQAI`rF-qSf~kJR!=l&<0Jv= z7EMJQaLUWBT~_#-j3J$E&-_MLSGUtXeY&6V!}H4NzRy=^<*Y}h8}6ykY_bkwJp*L^ z?J&(Sq#MHot1w8_#cku&{iTFD2%YNlzwSvwafvBxMID~Xk@)c9;*{61tupCb(EuZS zP#nyg%1pN@eY7s1m<)8hKLDjS$Z+Db=A1h`8WbU4A^Y{QxXJCRy3e?RUYkG3@1&=< z?Cl>FmSX4_N!muSDty9>h4!bR5$*yn6-^Y*N9vK-!o;V4VYxE*0pTNH?$zEdPC#7y z$;MO|cu&{g?a^21kFn`{Ns3i!rS$o$CG#LK0dN?U{%Rn*4&s7sy9GjDROBmf`Auz+L-~tvaz6Abgq^lL6M1I04Nv5X&Jtw@D$LD7aW|6fWFs@K%jO#UI27*t<{KNz#!zQwS4Lk6mZRykh z2)Vw(1uPPq{(Ok>--x5X5~^+2NsMPoa~7Pwt@&e{D)g}i!OEOo8KMU3n#;Nxj_vLI z9U)A2eKY-<83BB2Ynn!(nw*6c<@wBMaJ|JhGN@Ib;!jV{@HeP$9G8P;yP%$$j#k?W ziTDaPsYTVQH5N2qT!f5QegVuAb><2~o+Z)E*v}tgWRy#5`M}I{Vt)++FvyNr=ywqy zpxVYVczQGtbC?m3E-+HQW2C2txgM>zwuX9oZpTZS^ViFMD%(51TSzLSqT85Ux`_M} z^xT1S3~!yLT8&D@Z{4-#WoB145*C7y`#5TF z7>;{oRAG4j040WQx%FY8}|zw%6tRm>s829T!qbl;xabFsk1N4=?{=bXr8!oe5*5i zxra(B*DKC-4X?*`-A8MtES+w>)h|=SXq_@2+71?~?~S{;n!S^H@NJGz^|=Kp=l&D1 z9hin(Olx$r*Gb1LLe1_OD&@*_Xk4bTNkg#2vAv}9w<1v9~~ z1R%%j>rtS0vi&avSzK!B7+j6T1b<^^2c9RNdTTkn;N$x^E@i|@G4VMeU%0l6UiE_U zy0jR!Mg%37)juI(@M^~%PSGZ=R~yytoI<>qr5Ajrl|j&!uR;bM;%IH!tUJi?!(dym znG@C=Jy4NaL%HTik+mVaINXbiD@=lE>$4I> zxYomAEUbe|V)RG%${Ia1K>A=d8uCOds~hEmA@|bsO9}x`WW4iZFSfc?Yqd%vq1a@- zN8k=_uAPXZ6`J^pv6>v$u&qxu@0#ro1K-`+?u&(Ys*F#e2EXU-% z&ZBDPTzGk0s|n!_1kDCxFC6|Lnfb>K-Tw=Q&YawOJXe&YPGZ}J3rn|MXOr6(3k?Gx*ay%7VwgrD$9&%p|v)hQq>!4=5k^!Q23gZqK)~&Of@m?UqX>e@I z!+$Y(>`1Y|H?db}WKRt~BYw|)xY}%TO-CkpmbDq38)n^P-gmWeGEsv1{};NBfI=~y zPhPMveqx0k1!YF#UURJbH9vbY(frck7>A zzu?;41&bAY$QN_XMwcUvGQ-zpr=y6%i+E<;`a-3gY)XJR3x(#&rh>uL6?Gt?(JK3I zNi1y%$9j@WD<=Dj?OSn8u}6D!#syX0fFQs}qzGmW|CV%stH`qpTSh{MY*Qx8Cbmy_ zQ-`ELGZiKZE=M-1j9%84@8gUDf2&>=2VL{;E|pk?uXEgY&5D2Q-Y38ZesqKD-QJUd z1;JU9Q}`PQ=kaQx0z?Eb>;Wp?@3h=b*QF^=RQZ45>72;*wv!Tn-+O(I_O# zQu~{r3=uPz+mi`ctg*x#^64I*Kd_e?V_<@&05kb2%?)oq`X8#g>}<-8Kj=5iw!Zy8 z6?N6t62E|JEoxxDeFz-(uS#ZN2!z$s84u;%Jv0LrnKY{z*mc|+`I_FPz@_fsv|#n~ zb-vwR5iv=)4G^t_9p#*jn;RNzK2HvDk-atxbv@G6(BbBHF?H`C0xp~u{H!UV6W{e` zPV41ZRv?cl1|C3hYnCxxIT~`sIoFS$H3x+PiS-NpKk;eZuw^;oyLq@>6 z?&mvq?7GR95g38lp=0u+>1=&-}0hJ0K;;2M1Q; z`Fbuc6%j2z^Yio92a}&X;W|Aj*6nkn)eO6!C+8VfjBvDSKYuJQQWF;K-E??XPiq32 zgzpV7NtsNF*Y${AVIC@T5}X};3naIT?{SV-u*wkf{;jSmyi?-a?>32rrwi-w?to<< zZEG5px~{E`kMn!Z1a;Y-ciPBfdJ?Wk$ z7Fy9v+0mFS;1#ync>Y3&m9g=jy+=+ejWAWsoC_-=uQ(w%~WXOEO<%4Ms7YLWcV_GW2anEXNt}l z=?w3uh~|la-?9?aLq>Kw5j6WGAeTIc)?LEDl$iU{Y*AZ&MXD!utql5^( zaTd!;k+B-Q@tb}@A5Cts5ou00FgZ7%U647VRg9t9937F;J))q@j5Q30$zE#lg;64Z zQR3@D8_qjcHT&vkUc8L^`O|xE{EisE(eO^~WO(Sy-TFmTA$^qv%hAf4zZEQ6F1PcRT~h(hr%XUk-{UZYi{zC zv<(MPEMD}$kj=SKehJ4?t%N1+3oyam*#tx<5SQMSg#ZZ4i51~y4Ow$8PXnQ(3-VnD zIhK&#z<$k|HY)Cbf7Ack)Wp`oa=cS~WlfWv4%_1!5M5)vy%mGgIql~p$yN!!vH{Nr z5dLM!g#K8;okIW^BTtWA_Fn(onJjpLaacy%N(I zhZSs*in%lyYt77*P;Yq^o<2Z;pix;G{TH@b#!zy7TestN;ElZd^s4P&Eb3QCLTp=8#`k_rnRI{$srsTQ#dxa643 z-_drrb!Ew)NSlhbr`Jk7E0ytfr=H*dRI~f9mU~a(|2;$L_VM7r zg$XDwm%2q^zbHVnxNlVgYQVCRhEU28GCl#OAj( zS8#__XcoIQe##r;k)j0H4s>hMp`gw^x%94bMl6JMX$pX}w(B4g=qumQmL7@=G+kGW zFQfx7ayt*#KQ8nl1k%~8>$AdLF;g`11rXtgh=`6^=cWs~&Kd$WxINAG(4=lnR|xcj z<)1>0UHQPo*(I$;oe_{oQBa72A{(YJI#*X?aJ*CBC(1URcm_NtjEaf*Ui&8wa>zRa za4i8qLzA9ImBxSUPu3 z1$Z8%0u}1$sxP<)N;}?UA#Iq8Px&Di=t6O@3uS3Ohu=Rxx88SlKC3dH3L|9CRgzg= zSxM)9@k)2KpbxTB-wws1NCH%F$2K<}GO&5Uo_JPmqpgxJMbaowDhh4aF_lgn{%}k+ zRX8ldVPo17Q8rhfRpS_7jk_Z^7!J?;b_HBm`Ar=eURlM8C^+hPUe zm}H&l*Y)FCyNE3sdb^S>08)7^amN{h5m7IJi1_{pcs+i+@L6;{#Ix68@cu@wsE~He#fXNsE`NmWO8kYvr$Yzy zROim~MKP@E9f6z1ppI|A9;lNy{Iw>AI_RR{4!5S`)9&OkHq3_Kntnv+6c~h6AXbRw zdzdngTFCP_Hb})QXws)5_ z6Htc4766M?__t{Elj>@Q+;q=7_v%h3 zli@-^5TNI>UHt7RlegFGe&@Lrvh4R#wJ}Fhnwy5Kd3&SgMgwGix>qQ4bLkIJi9Myr z`;};WbievJhNJUKl4=f{+x5f@c}UqS>5cXExb$=du!e?)Uvn3I>38Ux#K&l9{Y`fs z0GBG=%Q9JlP+Z8S8SYq1tkupEnETvZTww$oq%Wo_ZP{8=8y*x}2DAV&+g-+d)|E$( zjF}az8JLvI1Tsju3q^4u4s1i8wix*pz^6MM95nVj;1I33X*^pYN4q)Y9_VxaW8O8_+X4*aaVr--Gm*|yJ>7Zs-A%gac~sLg?Y%RHX5B%W4u|s z`6$jXbki;Ez$uNK1^K0HCIu|}H80aAyiI3`@>N9aiX$g{M&EN@wO>SEaK@fhCfQz3 zCxnIJ--+#VuB?IH>H1PnJ8rowDVghrbcM({l;x~Ue5Dg8Z!zJcsV+(15|lDDmxfgL z_4*RB`JT6&ITgqrWtu9J9Z)M>{jyAgq3#meCtJQx1;^)LmQ?Ad;7=+1ltB=%G)lr_ zmkrRQ;MZ^7Gyp3~n(2>xz~@RR@YT014RhI({Qp+~p)^XO%4n=%3l8pD-LER1GtOE#Y(?1w zx5*}d_KP^`7`6O-k^J~mGJ+@DXyB!@h0!1lOn2Q--jPqrDJ;xlcEgRIUyw;szK{PqZgzUnVSgE78*8SeAo3HHd>dk>zaZv)P7F@0R8w{cHHUfDbrpcLb9 z@s0UY`Kp|v`kt|;eas>~BRbN$Pz8+=6dPwv6tM^IIQg*`zMdtjhnA9^H%Xk4rY4>J zouc8E-5@o~n+6{B5;soNe?H+u?{$51^L{NVvmNzw9%3*wKe6w8w%7BniqVr<99bytD*Y|K7x5mSC^1O{RHDo`XYp}T7s>R>iyEuKOLwlRE%T#+| z75@Ry(3xPUT(nWEA0Hp*LV6s{#Qbp_i^nYjkiBwAD=XAwu@<3!0f-86d@PJx0uRKY zv6`zcF8W&sq^ad>l!nmjJZliFMMAMe$-I+jD3DQ+*1i2)=7)oBzOgG(pw}+Zf>e6# z+oci#oanK9{TiyP8+&u5y2uw~Ax!ok)_1aq_I`7cihw|A|D?+MUh!Oj-Jk`>h+W%g z)^BaZ{?}S2*5`Xho+ENrNiw~#`yf>Nw9NE^*o0rm13{lFXT@ZIY&!9S0 zGW0*gB61T9@0YZI-KuF7qkvi3v8?C;5{!igqwdQSA%WYSFx2mlh>@(gW`zT_p+NQ$ zZj(IvBX-Xm>g3MVkKHQ0ZQPkE!WXBs*U?n|F8vVNlTWLt39)^6qNSM%g_p6%(H z>t?>tQd=GU@!nzU5EU;dkYa!5&lvSERJQ_!cuoF=`kz{W&%KC7ob|lWM0U@%THBjp z1=qWnlAy^{R@0Bi4Flx1y+m?$+74Y3r7TVQupmFQiPdrW5nbiyZu>t_Ka3}I%J{|r zPib?}mN>qeJut_WzKq8Nijt(fy}fO8J4s;-)|N(-b5;;PJS>f^tn9gEMbw;U-EEqF zoaR-4y1+r{s-N9sy#nf8m?n__eCXaTvD1h2)!e3c5Amk`em%N<;&#*M#md-U4~Jv; z-3N$$nn1(hG=ZhTc8#)gVEey%995=P&FzfFvp+bD!d$#m@^m{_1fWc=h&30~l3xx# zdRcm7vpO;uts_ZTLOnV&gyMi^OTjKfhlw9EU~@d;oj?zrPZOd|U|oh+YdJD1#BnmAUCD z+DrrfF=3gxC!Fgkhp+7vKpll=kMv4xhVS5JZcGbavXhQ{-iiF=!;$$a#u z#kWeFsD0`5u+Y>kmv;exVQhy`_Y)GLk zZ!zzio<2D9SEl3SY_QoM&FK#el#m8eN&y?A`G^(etAJ34EuOp@w$IaC$&XRQBRt@> zv%|{t_=}iWqbkjMkNnHz0l`wugu+v5EIJvS*X;FN@MCFYc9J<{1W=qBQs*8Z`7}o3?Q&_*V70=SSyMYVEC8B!%7r{teiAFMt82G7xv^QyA zZlpm|L)4;OLljd=3B@&ubxPU`e>4T1^ym6aR0Qm{mD`#wm-9$P_nDkH0RIWUcO{iYKTToxP>#tGGEl>_xDDv`V`v2;lH(L$r zPo)MjQ_7lmw3}TfE4@!F$Fu*4w8JNttU&(I;xTf1Ma`oHKTQ{k`gO(l7UqEWwIl#C z`a>4j;=rJm7j#>c)%khNg1_K*$y#-45!gY)UfhJ`ZRT@JqV8DkmA1`NGWA~r=J&9$ zbcm6=@PI7rjbkfY>-{4RhW<jJ1Fs`)^RYG!c>~FhB9a^Cw`2X|DB0 zh@Uqv{S6GXatN65rr(1dMBOJC{~z++Iw+2B+aD!DaMwU^f?IHh3)dwzA#d-dL5Z>p$bYNmR+ckjK|S|3@>j26A%oVc_6JZ{wB zC?&!*_2u^Wv!pVw>AdNRA{rGM#P49L6U|8&mdC?WmWHFKBZZQ|rb(gZ%BS8AYJyGV z=yQqInKC;a?x%5(jZ$_Vr^3kIPJ&m08eC=3RGvz>!a*fdTNYN3$4Tw{?Q1j`l^#Vx{=pahjZq%zWSV#)U0|s(6_G93x=UNdU<5y5gF6R| z&CBO0QAea?_>||jFH|YSC~O(^%L+pv2SGzX;CtU|e^7ut9sLr-3v{DV^mQ355pfGtoCeV|7mo-2F08LqHRQYM4Y)%%N?!K>- zoO{4k{LQB_i5GjS(|B09e0{jDr+)1gz><(y%c=3Jktf(QdRW7+pbF~WI_`+5fB}h5 zI|_PhUbqFi5+&%GPq*eHF>*Kw5EeQ>8_)K7#<##}tYqQ*U)?9X*a;$}NP$}sSHXt* zM4vJa8k2H|8!PB~5-|YGSGA5_G(Ps?+>c*WRW#whdQdFCKYk=S`gnM1Cvn_ubrWo6 zJ^k-Zbuac3ifBrOll8Y>Fr6je<8nQ%SW|WP1YjX*HQ6@~C5l70LY0N#+Pa%QS42zk z>|7|lgTFVN{OLi$8CdY3m40>3m@%~jUc5vr&zrEJY7KpeicDW-pyyaKd`*6p{>*FUC!zL1| z3nj46^f4*vj?KknoOlRgbEmCxIES5b=jw7hN#|5m$!IOE0@-6Jo^XtnG3M2A+THU( z8qjg6_rBMt+|KEzETYUF9tI@MCsRRm3~}TxewnF)&%S(c#s?uisBRm@m4Yx-pI{uP z=01;6pmYVu<*;$=<7rsk-*3bbe)){})_sLu>l(+<$msfZ=-{YY-Vt-?blFkQE0TN= z%Iz^`QBf1$q+8E>L`GnL8uk+?$n+*Yg0K+;tN6lkKXDx{yayktX6577fyCyikV|;J zJsRqCCGZk(c5M4k(EQ~MTIt+v_Sj$A&B{1X)jeLRLI+^$= z2m>k*QwZ5;D&-8QGc!L*TPs<3?lu9ZefJUz3wj{Ae@MKl1 zJq+2Uzl{`xm5mJVs;S+ACfbI5CH-r*>q0G_l72)IikDys!^EVpr^Y>T6mzWT3(_DL zq2M|6;a4>sYlM!+eY8fd@-MfHjS|GMdg8fa@3lyT-ZJJ%67rh>aTEe#%(5o4`Fy>5 zPz%aU4n>#PZ==Dq57C?7`IZsmuf9P)s2jLWPn}X9+Fo=`z<%oX>QU^^fA%&ags;YA zza^_$Kp9WxDWdFSK&Ux5m;PLS#R<>ARD1|gsJcvcaPTe$UVz;mwL~%3LRALdMA+H+$e0#U#XryRdr|9Rv?p|#) zx9nEHsj%W&|00y{%%5{`N1a+q_Md&PO&WJ<)@fUq1=)z~(DuFc@M4SPQI*rTP2GvX z-Hae0r8KXy;JEtxk9oGQo&8MDb~=u~vpShe$jW$K=!@k@F_g9+++2O@IS>S(vll&_ z7O#?R4)dDjSD|^PPc44yXT)uLSoLV~!KTsBY)OR!tX)P;zjI-Oul`5~u&r}lnbAx~%#KX{d%4jCRe8i%+S}S4PTEs&~ z-4d1-A*nPE2qR#d3Q!$2L*doNtDu+nK?c1IaA`-;c5{QTE8mf$G{Ey1`Fh#Ddi##x z=HA36TtO`s%WGZa)#MlHLEU}9gKL6FQfonCsgAXe`3&Whm?bk`Soe>On|rqT?)PLg zP_$)Y(0M1t;)6{0o52gAsBH?FT{bOLGm5x$pMVkhG1$mO`noY%4;fnU3<}Y2{P4A- zmZ-}xwU;ON&xUA;z5sl1q{aP{c-kET9qH9>{Msj^atXvaH}d?D^E0`EVmcI}n9SaV za5NSsg3Ze%JMd37`I(F`@g`o6@$taDSwkB3n7e~b2(rBS8vUXgUfXrc0Z|O ziJGkcu#ZJ4Pq;Ejm0~x*aJ@*yC-q}abF#$qc!n~ndl&spCn-Yx1JXaPPGaAy{2UMw z4I4s9+*>~RK)&<$5Q@N;&Sn|^1Y-Vsxf^`)$*po}|nqY2K5Po4ruFT(sQ;5>IvS$1nR8{O$704elc|EE>(Vv7Ossaddg= z#Dh{#Cdbtv&Uk8I|HgIy4Gu&}oE$I{OMj{~JcWyVY&Yk0GL`G0Qs&D4wko!nrauMS zv&#XVTNas3wZmE|DmY|9=hf!b*|J+}7FB<*iu7wxlRS3d3r2sm8*I48&@Qwn`}G;Z zlhx|DFW1b-HG!pQ;OK{!*{xaau)`<)Gb0AJUfXYDl^Maw(j!r33Xz!L$z$dq_*}$j(^N0s;!F+&#=o@7^JPR>W(hh zl(SsR#>Il`PWw5XxhTzr?q!#$o8P>6HYWxdqr{E53(w*{$?%2cK}P@>`r&jb!|xn6>hjm+*Gq>-?-+N zzJLv4&h~VTV}s$cEFKB#bSfXlIJCxn@VJ3{6@d&|Uy>icnX*_#(w{Uw@b+HJ|BWTR zWvz}iAGg?R4V}E7B|d4Bf@-ee%qks(3~H#k=&xNxGDpyC{8SaTY%lyL=G2gwY6*g< z5({3Fk&(f_!7bxIT2(i@&8+FdG63OAlMzqY=hxL<>RYxn= zC#=yWMfeW`h82N`w(FUh=I`A2uM_!PM(!L(t=h56v}`wJ9Xgfp^ELuH1KUR^`aB$t z0yQZ?evFRq%x_oQ+OvAQdiiKanlR;A1T%g);_b(|p66yI#?one$yjau+7qX}I$AYy zr9Et?y58qnReVxxEoXzNjlNr;+Hv`0U$*_|tr7x`B6%1`VD_HS#el-P(p827h&?dm< zweo(X!^XdXd*i4dW0kMWTl_@!5aZ)EC$zOd5I2M4;8nW7#mqBhOlo=Jn{?RC1ADU=CNmSyjLzek*1NN@apu0@a9-|JTTUFbmtdQRN0hFzy>7xcgAIFM5Mj#qPUc%Pw+p0w`9QhF}g+AIsdlSwS zCsc`ui1o5BOcnKt2AlGR$wj%?*;)Ax%Lu&e5fH{!PQt{|OTo8`LqlP?ok~<&7+c?! z%e8&i|9otZ=Op|^OACKs@J;5Q_670OixdjYqjFAm?~gZBNDwexHgfEY_2x7pHqv}R*G04 zkAXEMamgB}#cwYmaC5%zlJ_~2s#qa|uvZyL=K(A=h@3S9Rq-pAx=N!xaIt+Ba3Z|>Y%rv-CnrW znSfSSk5~1Rn6vHM^u*&X3FF_skWSeaGA0j21KvMeHYs7@{K5-TRFk<1ps5H#Cj&h1 z*H!LdFb(l|%l$gQAG|}r(Kj**M3$qC%q}hEF&-nt!b%jHbUI#X)iIe7Vm+hz$pD-L z08L6t4$rLAoRW2vR+MSAn&vvNva&McAQ0&r8>^_P-SwBsTg?~N?6Vu>Yk{ZK9WCtJ z<<5snn#88-F1}*t#ccrY(2B7)5H)XUX-gmPuay-PzH`{+u~q>;1++Hl@9+2b_um=K zAYjxN0bZ`IcfiL~)YOUe+7ZZ%jEoCa2I6XJDb3ApDk|6%^GjI6m~FaZxf6ql^gElI zgnlDwT>0hYqZ_AyR|y@~KOte}moHSKhNX$g9zgb^fMUs|>8Pkc(6=`?1zOpf8XBe~ zxR}HsW8gvoMl3Hc&&9<>z25S0rZg2NrF_Z=4CU)K<;y^(s{s7SsTuTr9V)H!XBxV=;aZ zZnwX*f8x8sS`H6|j zLPGCA#^9M!a;fi0EM_wR0TdGx0~})hTEK6EHWlM+cbtTas~NCGei0I)Q>}VSRO5PS z>KE1C-kzKLF?Bed!_Lsg2B_xv38yf1Eq<_8=@1e76%`f1!^6*+JBo@1<5(`xnlzY3 zH~>y7)A|UpTp>Y02JA3t%QV-)GR0CZycN#N1Wonj@`WrWxK0Mf3iL$cns$;p{@^->TEAuF)gaNhVU zm7X0MmfzE5i3A0WBrj`sQW!EQ$ei7Cu=YYMx`6R;VnK(qOIioLf$KQGsc8Z5$2mw& zYZU?1Y*SNH5rgk9=hO8shyEIFft+4X?lLlCM!E5v%V`NO>me#C>h^pG7aKb#CueS9 zAp)NVhbX7KoX_>bSXz3ty#1+Ztvcr@yk1Lx*=V%RVo@rC7x2Ix086WAC$CfqtgEX# zWvOXwT#%bInOZgKp z{r4t&XJ&fl8iYwkV3+B>?!?Er+s2GZ|@p&rR06TUEd9|6bn zKGELeE-M>plD?^Ozjh|+(VEwklzxqp)e&4zh90KF_p8^>fWv5G`_|Fk2gq+9E0g0p zQf8$|cGMtt69X_$^F79G|+c@#2mzN$%~9^x8g9W$^QIPc0qae@Q|MmurmP*#*U?>w*!ZVt6g zg?$4x14;P!R;Q;ih&Fe33v6AHLC;V3`6 zhA39IY`1mX{+!NYh8fsNNlWVitcSZxu+?f?DODcOH)nTuw>OfYy>YO0F;$u@7FTG7 zR&cu2exoP6Hv&(7tMFYjgM%8ddzCw!Zx1)yZixXME`%$}%o5WUUe@US#SvmKKQS`2 zK4bE)uf`K9fV~{hLj}AG7^O2hHM=1tF4X43n*Lqrlxho4?k{|cadfqB!1AzDWQoIa zO^n!vO6-RmV?##(JBUn7x}))YiP}9i@X@V!HSCQ^mg`Z(+L)G-Wx5_sNT;vYXyUq0 z&7cun!@TfJ*-8f8@u!(q^Uy1efBv4t=k)~aE7ITDbu29-kmV#@pkZK6 zPfk>-4a4*EjevW=X0ecC8w?Dvf*S%Jr#_lPR<=Wbny8ip|h{hzSXlO8RLH72{ zAPR2o@11KD=&*ic{%}~p8fh|_Bm+W%-xv@+?ye3)k>wtHdU^njFA#MW`U3oekgMwI zc!B*AkhNH@wCrtcWasCznM{!SMb+1{g?8U<&Jg)c8K-4rtVZB*(P_60ZP|9~+I;=` zRhf#*PbQFx>r!p@$>7>1ynf%lfK~IEk2t!ZwA8@JsD5XrNU>Py-Me?`Ty9rA;o$aX zPexKwprwn;WM25C(Ny#fc+Y(haL4~(l^A|~1^|+kXyf8l$nY2Htb21f|28V>$Iyo6J zCIfq=1C(e@T|ewG@@0|l%g$gHuk_cpy0beJGw|{4Yj3e!AY!PIY9a=193NX9e;xhG z*ry*8Tv&OX6P!q|S=OGKbHF=0=JW$!cIz9FpS3^or=et0KWH_??B+@2wL?4Ad1D=> z!#Bh0^`qx^w!a@V?65Saf1`(qkPzprH5@86$QwS?ijU964&>C571yo%e4oRqCPdO> z1VNLGo8XyTjJTm}r%|&armyD+Ogg|o+sHT=!obnRVFyY$jOXq(z4c3q)1X0St#wn< zZ<@dRxIx3h72XYT(zteXl%9~#vvZDyh9)m3_mi^%u>{O;6an(l!S)B~Feantz6%zd znVj7^zvadsotZ8QBs2XP8Sz~dP3b?e?B$^c0 z&8f^`IFCDGNZDs`@;A1JO0*_DBj0GZyuH3;EH&Box7aNArR6sDhe|_Pj--0l7BCt_ zE~kOw)k)|d?5?)IQ;tKn_!vJQNLD}7#IaR2XI)H`aE#ZbK2t_h#ItKnbC5Z{;$LJr zY+-`I1S6M^1Dy!wYD^}lfMx-J7+T1P|3bQ~Us4E3)`J9mBl%^hr| z8kOsgL#2SyYlaM1iSeVPRt>eRftV74$2CHqjg;;o)L6iE>Q;uBE7K+S{1Q8*$`*Q> z!j12^d>!^_n@7fzFTruRMhVO9O+2?+U7-^f`&jhaaklKLs>y>1w4b5A1 zdBOmc5JL+}cAqmzdFtc7N9Yr;ZsO<_QqA3O?M^a1_!2PbWs!Uwo=?iWId~yYtI+F< zeXbm3Z2#NWuQEpSWeb(dG;9twMDk=3=+v`PR+OSv_myC^zNaF(|7aY1MV(c@R{YU; z8tb(L=HImdbPi{B)nz2J)FtBDzStVB8BGqtTSp?KsC0?SDk{cCRv8(I&lIQ8Dl|RT zC7TZYjnzA^^B{j}0_q0Py$f zxj@~YO%bZ)oL}ZWYLqf`hQkhjGF5xFRayfDgSsW%f;DXctF7`-Rru_7X*;LWd^aUi zhw#rsbO_!QKnA+n;(}(sd%yrRVG{xtj*N^cefy1uTi4M>181p=Fr9H5CPnxzev z7l)>sg1SO>O={N&=-t(EuNE#Km!#A+o~N3F1eYDgr{k-pg?aGm%@&h*TKmikg*9Hb^8c|%m=0G>f3ml{f8G5h5M3AE@NYnnW`C+av&7>0GZ z*o{t0TL$`00G_=>8dV=;wN_UaP#@5iZek*4*l_eaM|DF3HjyA9vMF38s|@aZ1u_aq zQBe^H(dMkpIXQv|Y=;TV8Z5m{NlDnX>cY8lB*etn@I8N(QbjXqh*mGPM(L5V#dxy6 z4tBBxA}7mdpoizsPzjKygd$2hI6B(eA6NkCiLvqA(t!v~D$zzSLah#}=mI8>n>r(q zND9t?r)N_>J3s4TWMemmot@#ZjHv}atO>idmOkUv`!;cP9m(rXjD*cRj-vJ03ulNn z^K^R(nqx$4i23IxU-t zGfr6bC%1X~kaZ&f&e(2G#b3f>k=4|+`1&zX5+s3ed%Y$l$aq( zOCPj2CXFUQDiB$VOKxh&+XrsknQ8l_uXFuvmA-7ZbL!x{bATS>qb|Zd3@;hYAO|kn zXua7Ojlie-HTNkItqytfgBdGH3hZo4-Tzs5+#T5jR&s6zVq<({bIjqOb6|4^N1}ua z(K<*hx{#3cNJ4coypFbH{<_ALQ6U)-#ztMFTe9ZVV0B#{-rvgf-Cu{(s<{j&&t1MO zAy)8SD0!D_Nb)^?)0B4va$)o6xI>*R+VFftD3egq7|Iyt=FUztQ1_(-DM}%Mc2~Wg zFVD{8K2}s$7cY+HDo`nU1GCD-)fMok`XQ$wc25S3#9Vr33HUrU7PmE>gr{Tr5t?tz;A9=>mm6$0D)o95T#A0tNu<4`vu4Xc zN7yor#u#9^pp<|Y4Dubp3ylZ7t<3_&QP6K+CrcPIV5cxA#K*^1S663xuT>AKKH%^M zi|o&ieqnLm$J@kkdJdVcs@Q+jo%VZ=)dWf7_?028KJeCTmp$@+0a9FCa2Gzj;DULQE-~;5DL&L); zpdv~n0O7&M$2XfP0UGoH{YH0y?MZb>{M*#d)QgQ$ke$+}OGOZJ@ECh9R ziM{!QA|iyK{R0E-*gyD#ypfx%*E+_tg@M{d-^?r&8HeF3|7x2DaQs!EL0w;;2wAM^ zUmMWO%nYz@+5lu}IF$_$^#L6<$s|+!kk78J3@cSKmN@;Qa7xe5&liDvxHXvAs8Oau zg9s0QAZ8GVyf5I(*rcCd$;-CP)Qvb71hA-O&DEZByA5+mipp%6zUk>-9=rzOK}eq0^U zIwts(7pJt|@$%f59GUx3Lv_s%JIyy2jF>&VwoO+)=euM;a z@}EtJ8%GqR*a5N>=wz{?vZhfY`aB+*ycsW$p~qu!Q4z!I%xHT5zlj7c-m&jTG5Gb} z3Ebgg93alg;om4<^p{d64&hqqFxd=q4hO{|Sp~i$zfZfFU-StO72mK6uk(X!HfE{u zF=eE+f2{fvx^vZtUUioYk-5-iZ>d{lydNze$Vz{)7m6R!2?d^OZrHbv`keJfNBk(`>^ZocXxbY@g{C^ASlSa4WqDpe^wRBm>D9C@qMUxY zzqJGzGW3l*Z@5^i?N*2$fiRjY1A6i z#6M+0G#FzhxBN3aU90qRQ?{)WDJo3jAT3SyU{#@^Aud^RO& zKJS~7g&Ibt)~g?ifwQnnct1M-;zo+T{F9q0>|MUS?E(4ANfE~wz?u~FepcKpOELga zYI{@rP2fm<=|5FH$z*!@Aqd`A`!-HW;fV5(Nvh43OH7tPok)c56Oe-ra6#d9p18k=?%s@}mcrgpry#JcW0yb#i)xd>7gwjW) z9Vkc~9gI#(OUs&SVQ#(zbbRXQSOUBOeSJaQx-29l3BysR8vzRp!O$iuqiGvD{FdBA zLZ58kZ*8%d{-{NKpNT|T)?Rxg%SprxPF@rJ_}Wu;sV>^pO5!K8xgnmLN>}1ZC_a9w zLAE!MUybI!0L>~NA?B?g63q7EiDeBIa%(&7gREbgM??HRVutz;6D>PEcDf6Fjfu;> z&!FPNSHy}k)Gl6LmcKonITVh0+yJ>6Lj3>OLa8Z zo{EeU?SGR}i7}Sg#d&n9q#gN&=HRyp3zSMrG8kNIrf_ulosvz^b5F4jEQT3u;~6y5 zEtLnFGH_1X#u%?spm_u~Qg#X?a*m-8ssqx$vV`DTjh0YEwj7Z)xLHgEIy?(((Rpd8Of4ImcoACvtzJahwx&;Osf$_2iL z$GQ0{w)?(?>HA54geAPr%+``6?p_u2K&a<*`ff;*L^HHOw=f6#MW)JfkJV4q>7I@H z$!}VZlzh&wIE8IKOs6f(heTLz>uEG_F*bq}((QF;#itvroBk&>+8FeZ$mQks69w*k zT?$jq4IG7%r7ndx<#a_rPxa;D!7S7b$Lm*K$JWag+DlG7B!6y{1I%FlARryE&r$-o zJb=RJb#-Q7Nj5OZ6%~zqYO{5S8O;gcqVoGt`Q!Kc^3VsZcn>Gj?*6_L>MeEF;*OkL0nCh>!_)ioRP;eM%8!UXdH83K0n% zhbtE?ZN%>xW=C1YII&q`CI1QuCKT1Ny2g$8GaPGF+MJ-1YMcLCVEqixkiS$JN}v$OjVd$) zIp52WzUO*V7YFr(;b99N_;}vqKqCIn2+FNq)L%1pIfzM{zPKaTw{P^?&lGL4F}Odg zP*@6Yp=B(eUJm)P-JY=^HooRbmQ!>5|0$pcnQ{2Ci8ax&myNWA{gltnKeB^^Ki42= zv{t^!i>FpvifD9rdYE5$3*@e6$(smOY=M0z9?+R_-ds6~w!-)A8;M+<-4Ade8obpECgBo>CM@p&0ej#2<7 zdvMpw1W}Ts^fUT1Pi_350x&qn%((KO7$6gb{H)CznwvhuPpkEJwD z@NiJhYek?ZRqlsg0QdR<{&VbaQb$J=)-I)?B+()OHIgPZJ2jPq`hEdme$`U`aKHaV zXskByRx@t0NB>02Z&Le5QPBGF<$&M1PcS4Q0W)Ql1Y_#HjIJcWzXm}^U%Fn_8X`vpTrP3ozuQbO^j~Qw`FHVd0skMgs^y|c zE1PiFe-iH;+Vne5)yr~~Lj>bZ5@!%6i8`iVhT&WDe&gBRkcA{)J&Hu#t`HZ*azK8QR6(<2zQny+_8AP@Z>_Q0-;e_aq&*%< zo;GosX(b&!h-c=m!XfiWJJ~{KsOh?T^`2&+U)T#JoqMVFZItOYj98Qf>Gdt6s8;@O&BEH8>L|Y^3Hp=>pq; z;Hr-0z{yxHqP<^GKzbls1xZV({lz`qz{z4eI%eVvuKaV^#1IS+ivLM)EN{41E=llL zJjN;}8)_J%{aX3PcdvzKNL!%SWoed9rK!Uv?(^m0@Gt51$MfAD8%lLA@ipqVCV&{% zx;nB%vz1SCj!{LFj#1*NmZT=zx{a@lK82`(PUWwa$da%dg zeT3@i#o))m54#TasQYQwPNuk6c>h8%7TK)X%O6`pHcOEz22sUG9nkG=TNIt`{wExx zr2pW{T;5G7xMlLS%>_VNSpOm2%3Z-ytyWh6;E23D`D)5II3N}qga7EUwNIKMir}OG z&7rHKbFmyobD)8OS@bz;0ucDgMz1Lk*!W4|3qccI+$63RZM^PF^&d{!&PBHUo7&G= z@oKpcgB+}%3&dN?haAH4T)6EU%jU}V-q}t{~*>v7%!W^$Tj-$6Ur1aV~VSX<_xY`18sc|@ebZgyi1l~{KRsV&U|{7 zJ9z97@V`{NU8ff0=t4QIPJO|sR?NkDPWlT_Dcx2XJC|EOZyUGinl?Fw$E}wfsh233 zo)&Ue0H7GtI{!#G(q}(UUMioMH z)9aEx`|3;a9#zwhAAhvzOKF&mT(B?!IzTPauP+yeLyD>@t(`c!bMDNot^Hh7L>0zA zHa>1*jR_C-7Pxrt`sRa!2sTLnk?1iT))C5_66t{&pk(94x5Mizk{b-u47>UR>jivc zAEh1+EIxPUe3eg0_ugOb?k2FuOsgP;PkNzg34dX2MXhB#4i z1`KkMq{I#xS!fMz7r?gMctCmrkl+N6lUi z*OV>`yyfLAPQq46c>9<3Zmb#ZMa~wYR@msUGfT-fLeuQ!;C9v;gq6EDO|R8Pv1MIV z#h6H|?t=`g+5$~PnjkV|?)Udd-Lx&qbhnG=&FV5$b8<}Lcx(R5U%U+msrI?`b!k(U z(!Mr|CJ;FF8NK;`?wp$_?8j3pf4FClp^VENOaO9hKbg;MP3n{Yp~0!rd<0zsSwZZI z+eYWDGr_dY2TXPKpeha>997tdR*%Gfnf}(=B<=buk`x}0`FbP2zuAHpDf&@*NDzo zQL@h4zeXTW>2U1`Iam-g6jIvmMQLHp{Ag zOd|Pp5MWSHR1imBMAE3+<%<~P`9g@?#1YxARNC$leQ}DqUzD9$&5)W^lg?)Y=1J!D!;TohbL}n~o~n1X!+LY1e#jln5_gfw+%6LB zmQ#1yfhFz1L-@CkJ(G?8Y=It2#A(c_{xAi)T!>d~*|UdzOO=90WHXB_Unsh)EN2cJd%!BFeP&UK!HlCYJ2Y{|jZxF(dXeoo}ADXY-&x!$I3IQX`Mtu68~q#$ExM51zb zx;Ddh#JzJ5}ll;5WaP7<&g<8W$WbExnA@{?> zPjgy7DEE)OBrqSMgJP|n`zeRa zLLdkGB)P1Vo!xo0$+irZZw#a_MZDnBdgM@xnFhLS{XvCYHX4NrR9yz|r>mqtIYMczwX`$B93R75z)b_I2Ri*)4Dz1cxCub!1r0g&Ao*Wji_qP55q_gQGbKSevgkY5}t(q^RI$g)WQT ze9^CS(kGvER7d6;T-xx`^mi*AHw1@=pj>#ampD&`<1WK9zSSIfrb7rrn_OViG@rIJ zFHH@ij{fdP2E~L3yAON1q6^k)VP;h1CTfwS^5Xa$^&O({N@I>Z7Vnnkpz|0BO>0KH z9mlKJT+x+B)9$t&myheRmc)|8UGkM8Hd70Ohu_m%5)K?!5#!ctUd8uKiyY{J^>3hY zajIu{PJ177b?K0DT-}KcHwN2t#1CxO{Kb*@%>sEceflBd+Ns;u78s{nU))_Vl~c5c zD5^y1RKK9k#kh^&&0!nmwlZygtlyRVl=+7L=!tbDj|1spbaI$c{eXDKoH zUL~pz9eT4Z0|fytN=uK_N`oCm*P)7Nh8nw=6?5KnBF*k~-%>sF5BDGU-tHXgJmGMT zY_vK4n!rHxNY607dyCwG9bt!gs$3dU4pPvB&HD);43r!F3^*tPF(s|(db9arIP(|L z$Oz<5#}(k=W8d;P7DjBb8~0nk_)*0xv1&vK)x@pa#cEh0HWJf!x0aPMdFIR?`<(~I z<6Q%L)JswUKJD@}jr zKYkb*rEg?mIRxJCk&796q|rX+#Yt5siB;NJ;;ky~bFWGk;&L?nb&v4(z3l3JbM~1- zUmTk|lgoOI$#puLh1fhkyub|y2moDx`-5zr+jK?o1{8PgR zpyh9Bw9o`*J)@rqXFuDsq%AcY^;h1S1$u|4LlmYSR~B=%Yo9wQxhU51Yq+c?I&TCb zG*-{j-0O^dC^sT1j=h1FrQHr7cpgtsbFKYFR!c0lynZiVsjWbknEHN8z2Paf)I@h$ z!ZuePx)XpXwnRY#v3{nOkUI{av7$=F zQ2v*vb%e!XQRaS-Qc~Im90155qEIX%zpfai>xe1OnrM%4l0#r{t_% zc&j1`jt9r|FDwl|d2aMf+Ho74adf#n62JjPi!^9x>F8v(`U-ezJ!N+Z>sDfSR>sVJ z+!;xSgNEKkomjJN1QkY6pUmv!L{Z1VHo^zq0qq7LAS@sXGs`CzjM)BDIowJAQrgV_ zUCa%y8U5d5ZpM51%}EKzfUH2Rwr~8^Uyz|x@zw4SF={XkCY%`Z%SnEd_Hk8nGT#wo z4rA_N|L#U!RbBlFo~gRxfUU2c|Cf@*0Q(u92-3?}`HgIyBFa<4kULOfC~Y#~%cH4^ zK874Z(Wze$^lqgC>g?t3z53L1?WL(S(Hri`6KG3+3R{Q;xc|FJIXR|;+uU%+_jcAH z{C+Y$`#{y+r{RsW!HII<*(GY?uO}Jy_zcfBKRq_df}MC~Rs{_sA3QhqmO4^9c`dt# z7vh;$RI6ii_-wZbkgBNt7?UfA?|&7>lU#~k&MY~n;{OkWFK5CFT0h#kd>h2_83p8C z=tHkKB@)jf_ffgz_ld!G)8H6E1Kiyi_DB9FQ&}spWq|7fl~caNTt_V`1y7r1<5Fg6 zVw~xbEJF;<_3tCS`ayjhC3zuk1bltd4VNTNYV*d{cX^lH3nWana(Wqz+gf+$Zt}Ki ztvHr3@yonTVE%}(i)gKPFNW?vSu$9VG0l-6xqFdoTq{y&vi5pOo(J4VM@|M>;_6hL z!?j;pcZMcP`FDAF1L4bk9sRW|>%vXz;7ZjE)Ie3B911k5kejo0Dwsg>(vs-+N%phj zIVrnlhy-6rWPcMwYLUn)!KSPoMg7CsL7bz*;}jA2s&Ss|)6jkR&BCHyMQcP+aYVuV za@=S4jbh_#1~;@a;nwUa9`APrO3{gl8k!z7YYvxSFX^MS!2cqWtiM{dCNIkEdm z#c{*Sq@ghJWBRXHB0o}$$eWbM3yL7qRvKO%ar#z3a0(L>cIfE_@5oDqMvcB+wFywC z4^D<6$P*9iqcm*_R3$@_Z8h}P5TQX7t|MVZ%^zU0xGbAny7S1|Le?gfjQ$X@c*Dy? z@)Y`v-MQrmrjDyhK!MejXk?SShY^!yt7A~BQ23KsL{L*>X;ML1>w)`f?y7oG`SHA0 zhgPFV)O^g12TgBA6_@`{c`=Yr-}kd8BKaFYqr@ox+Z0*D z4gG~gB>(+lj$W|iiXt=pZT0EH4$XSG8iuxiK@++}t!{x_Ppl3zaR8~R8&7YUbUbra zp(NpZX^tkj<1uG(T3E$J(+;AUkZgHLKO4)t3(Gr+kbtt`KL4Hm^ASNwrZT)7I`=mB zj)5Ie10aG1C_8Zyrs}_^z7UeWWoOPS?e@l>YvGByF#1V}ll|z4DACm<7(*%QCvsYv zT+HL{3(D`pXsM|s#a!(f`pmZLViD|Q0G#ymukPk#juxu>qp6VCe?X)ni?#i4nEsfl zn-~DRJNOCOC6i?{gop)f0foZ1BSg8!MOHbIvNgb_^EXHJa9_s=hE67qgIfthQ5$3} z@qQYbvz_JA&>gwJ6dopbc(slNs225;f47_%8v-%N_VpL2V$WakM1JCpiUp^V7O$3< zM$axSpXZivK4gAh6n4ZIe&S;aKY2a9hQ1zB;?`cO&c>t@@!n+b-*gXAevx3GaMT_} zQCi&?o^_c_KCG?|$*a)PmLvehRKql?w@h9aO1ubzpijwMpHN6dl+ zi&Z|R($ZkNyN~m%Qx*(Rq*1yYn;r|+i z#+oCURcCH}*klO~kL`6Rb*G!_){u?YG-emy&3*t?DT|MG(TYt@3A& zGjB?_gIV?i5d_%ERSje5m^?A7ID;dRr*$oFY-X0$sLNRArqo`<702*KM1Esq5xF(=x~L!h8Vc!Y7uV%X?J%Q>{gt$B ze1{x3@r{$v@uW|cFDdZvmtM~hnrIKp{hv`#qUkfpjg0azv9>(A&}o6*0AegGESD$P zXsD&NlK#nIm&>hCN!4!=)K-Q-s^h)c+Ma9bzkS7b6w{?=iK-C-QUmm zJ6l-uEI$4rL!|b`=I*s!vg|MEjoCn1e7`aetE-P71s#49+j>V-R5bI|D}Vxh1z4?$ ziK!j9B`2@TU{c$=dwQJfq1;CDp5J61zp$6t4!0{@SzHeW01bjQK(Mvz%7+(=6Y8Xj zKvh%TvAqrX##1=NKUb6!^=tMc){3@KD4vIxr1_6g!!10kaX8iFzs{?x7yLiEVFUtX zc}I>&O_;X=g!lHa>)yiuma(Y~>T(egJMky(k+cP!a%}84|6!xJ{E8#InXQ^<+6*yB zHOH99%!Wh&#n2yoeA^M*NrF=Go$kCO^V=EOdQqy<(s>#ghg35Tma+vb6)N5ccTI6# z{jT1@6#TbV?C=1^mudX3^-)`%D-gzY#k7NcjoRuweeTP~FMH!8)&|_Kn420!SQJJg2U*B}hYF zzH~)D$y)qSZS67@$(q?L5rDK=#sx{xE(5r4oUE4s973cOYOo0B~It+GxGN(Z-0jZH!^*S)#SDfI=A- zM9I}EZg+_Z7n34_)7g|jqo;+aGDJC44Fw+Gl(4%1fjGxshJ{-!t zT=!?~WEi9)Q_Ldj`;wcxJ59|W!_1CDKHE}@&>YOt$5%cg99roIG|pTnB>}7%4oCi2 zc0hM^baX_YKQWLzl~WuDT=?i@P7=XY6UG{`#be3QPgYQox;2kOoaITKAg4mX-Z_pvJ&kOgL+t_Y zIh7?|9KNpPa&vTQ?MJH98+0jmc{0U3LNd1p+SjW(^sbnJfi=`EgM4r0<;gONC#sDc z&;|b9{2>-*{9KvGLtCdG0iVXuxs!o~Y$M`g7yM`~w2zc<7eFb+r1y{-_!)(*G*H5TOd`;;U z%^382#7@NT&jKco)C`ZfIQ!0~q$bC)Jl%^RT5*#v$a7{=#S3=2#=*v}-V0)Wel9n0 z<`(d>!(f^RegHt=_;zh<9Fb0*P*Wv2LmN5m^!~Wxx7bIeyhKD^W$Kc07}00MR+qPg`?QO*e&nI;tbD(&KeFW}cw?@ZV)_-$ zdzGADE3!yef~{3E0Dn>$K$7rvOX~|kSZ2NWB*$b5Vt@1pU3Ri4hJhD|M8^iztYN)N z#^?2Yf-m@0^yxY|5e$l_1J=*uTyx*vJNps~X2v!P0uDqfG4RRkk z?3^CKoiQmyc!Pg1vy0GT_gI=g*~aAuHCb3r;H*@dUhT}TIeCF1sZC#CqmWw*S)*jO zD>yTieHXd1*co_xoWB3z)R%Ujh6iM*mNs%@bSTI2OT}LD9wm-A_s|iW{&B(Nl#~=8 z4qqatqYA0E>`RNjlE?7ek|62LU8jkXjNyK(NxF!f#Y!c+T_UZrU~iIuZ9_EdE>o`2P2e&(=*F%%mt%lp&e%%(kASjpHy4f(3~NY@FhsjkWPG&f=;OT-p^Ix*Ky)+E0)ok#sz6v zSB>iWAts}vJjP>dcjHf*%f;`-TG~*dm=}c66{nYzw~Lc8rcZym79M`;w%POXqgmo< zQxgRR1pr^+kCzBETW%GDYe(YjC}xPAO%FIivXm)(r%`hGEq zMP8I6mPxwBXcPwSMVDUIjP$+KJ7N_HAs1dNBj8gjFs0_7OW5F6VbF@;Y)2KDs`TMj zK(9my2@m%Bd9(r981Rvf>K2UJXx7%YL&r(<<+ki*nM9a5PWgWhrIxBkz54xKh;1@= zP1thwttR*7_ij3?x$tnFq{|Ia%OIxSEB5`pwN|0&ye#qCqJl#awW%#mIx{iWepnNe z(Q%4Yu)v4v(z_4D5SPK2h7^=i(I zEA=fKp1xM%)>0}uroWk9BX0%d0Kp?UyNdpqg)1fMEI6% z9iBA0Di-ZqT2Cqa@bG-}gWb$+sfv6c4EHiTMY8;99A!oi7L3D9t zP)OXLAy<&*{+pNSP%J5NJ;6Q@SsojQ9~%srtGX4luQ?u!53R)E0)Yv~fNW$!{oeZh zJx0O3h3!xT2yshGDrC%N+Iqv({&e#B`X`mYX-qQtDkmRkl>XbH@ zsD_~ZQugOF?X&HGMNt4LiN{v%&$6;>FqficHN!F5TZaO+37}KXfn=m<+7u3+m$~i< z>`8WFOI$xWQ1&dpy*@b#)<(MfmBuBEASbNL`4EllKB5kH8g_X=6CFbGnIxMPi=x$( zuMEl7#Cdkp#dxx0Jmi}UCcJEEUtx_$zM=Q`==D~OEn43jw_yw`Sxz@1(r zH=a95gw0u4EdIF~5zV|8V==}9L3nQW8t)qk-`f>w&e(_Yz}@x>h)<3vWvE2b z;(J%qiNV7&FUPZ#D4tlqUe(e;KYyO;o0Yxc*dAI^A_u2>-CU%z+uBLVVZOZ9}_W~s`vq%@$%`uR=|-+O2Cw_WuZ18$Fn5w{FyRgJ&4KJaEU&DRQ!cr1|E zE+y%&3BA%v$!`T$-nWLl8+Q#;ec9~^P#WeKb>I^PbW~L#AmCK>sx<|oy!!>Vc5JaSnui#D>iGq>cw0VB$)KuUmt zg*!Vtuj=56iso^IRd?Bx>2pp!KmbdQK~#IqsdvV63%yteXFIJw43kAfpS09Jm(_Lb zG5nyHx?h$Fm!^dOtyjQaE0^smGpoM}wJQZozmY1r=+8Ngp`kbh#{ISp;)vgfA51Y# z5jK#g0nT}I@rGNKz34-o>HE!h;nyJ$h_uM%;EUNr@+@(*`$j80cpdWejLT2V?6P}}+YwQSq3Ixg*(H{-u(f8~sF{EPNd5DUzo@B1R)v4r)( P>inuH!~|z_=h1%vKz;nb diff --git a/screenshots/LCD_TB_INIT1.png b/screenshots/LCD_TB_INIT1.png new file mode 100644 index 0000000000000000000000000000000000000000..b9d2ca93c05944598128ab3b09781bf4724dbb77 GIT binary patch literal 44632 zcmc$`1yoznx-Lpfl_CXNv_KoIMT<-k=y(oHYQsl+Q4wAB>kCz|e<1| zazOryh9+WXq~~B{_}baj!Q{2Lq^yD=lhI=|wAW~oz>mrq)cAe--3{7N55f?i?-dXR5wwx#X3+5fwE%#ow%9>Te_#Z{oWVvX1*U+B8UwE;}F~ z0MLEVw%9!Y3sV8|05x!F@J0tZMYl0sEsO`a|ERC z6-vv=DT*&}-2MLi$_hEl+?)rlT*WMy-(Ew*Z->~yM4Nkgl)!ZgqQa@&Mp6XNda)50 zR6a3}=J>q(%{RmfGd`M+JJbS*hUQa_r^}CqwioLEJZ;&PN6#G{n{F~9 zDbC2mJToM;5578IYD}2c-MBJlEJm7kr;Kkv{5l4=`(0*Blf43RDAsbLPDi*tb-E+v zI6i}hCckOV&Ku4905>HdKsz~|!&<=&qnBn>eoaDs({}@`l9Q!u!VoAQk>0y-&)WlR z$1AbRH5!&+GG^SzCa_AAKm8UBjh`1=1PV<+t{doZJ|13?6Z)b6DUidFN-}*YdBhwD6_>shbp1hYyf*;?kj;7bnGnjH{cEGJB&LDmk30AVO zY9==L2F-T*6=CQdluPixvFdmUOz`etFri$RWAEPe^VC9v|~Y<0n!~4B?}Lz z_frZZeD^jc+mZ^O_Z}J=)B+8SFLIX_huVhZ6v>8W`}7ChF2^ z(Cv;vghO*c#d0ZDmh>)F|K+}h=#Hvgy7(+`f^=j1z~y-~H(+#lz4bJQk8w`g`wsY} zHWdrahnE!XH>C1Zl}(>We}4M|!5L;M2cgNFiksjsB0wJV5w=ovazGEyPu`xsLApY* z;ADvpt$Hu9hMs>~x-}$~Vm!)DbaY_43+Mc#e&o(nRN)Bx0s#V<81n+ZyV#LXeCS`w z%;8g1eOV?%+9L|6OP)yxAN%rx?!{=tONWKPc@as*oTRax9|RyYv{by>C5fhQh!C{# z5S$@s^Jo#NWs0ccgZpU1zdz4ELHoVdq442Ze07jRzXg=M68A?lU9-{g9 zJ(;RN?#W+v9r-o>n275>+F`(9f;aN?A*H!o_L;~KdE;d}@;TH8b$F1U+<$rO&!+yD zn`n-lf7+sU{2w<_y`nyUx#_cp+YuT^LQ#YFaa

QN8@fE{@mn@(Ef|x4(aqo^1EY z<@Ez(d!KrBaKl^vAQfNa#mPx*r@g}})YM<)K%DF%pl7@fKIyt}od<_$5%U?FnFhDN zYCisBH5I~kEt+(;)~Q6FpJ{q6I^O+WzG^1y%x@#pTD4_IcJcco)B?~4ssH{O>Z?Q> zs>(vxjaqB!!EetSeC_rM~Vl?K6l&etpPUO0aVolJ5+Ht!uAV6Z;p`%M= zuD)Kd)Id3h@J&GwI89fjx`JQhWHC~0@50`kaLONQ+JkYEpPyuu$=L1Te3~y6c?Yu& zMz~=NmypxYYqba`VPWlxRCVUQ!SVJKM_^!-RvMCgjr_E z;emPokiK@ZoAn?m)6TmbBYfvuc1TM)fuW;2!P3ms&T`l;m(`W+Shj2ed@Jr14?O^o z?CxOU;QxAV>Ia=uHE+`s4VCDZS1Lw)^v^=}83kPxMu2Zz0+Rg-542T2wB#Ftlj6hn zT-`Kj@0JN$aKkh>_y(Tdql9H`}1q z;%qT*f2(>c#8>@TK4o0k4t#IRuEJ1o$a{Eqy-TS488fwKb;->7r;np{jH~5y>-`BA z{T6D@#9z$E(1=rr!sQKNt>4_8Emmk8)(fdRO0W98v-Xx6Xic40A(=wYc)ADjD*?ZJ zPp#{%mmDiBt?8CDyg1y#4d4awhSXMXZ=h)v0Lv>bU6_=b){dSVNjaUsmyr^>8IAtI zOy?FpyNrvj5v&xW_aE(8u2iZj@a9nqTJch~T=cCRlL|&ZASq~wHn8OXJl|&Ba)2+i z=5G-xN{j8aJAo}|^#$bL>Bnxl#Y892+c&6qfn(JLQcei$QIE|I7kB+-{SK4}n{=F& zCzLA=Lt17xoWv99t3bz*gL{$HH2gV&cJVtcr!R%V(ZSRJvE>o7t0Ay;)C=uvOIr&0 zFG$A&upJ|}9E~X6w!TyEgh&;P1i$FXAJy1adkM2}RXi;)1B-5}MHw6Q33;gW*e2 z4pC-@U=z4SOW1kxyD~i7f(EyX?mPjvA#Lx>)UWL(12?Wm7gj^qyt z&mU6@NfTbP3QXHsjSQrGSBivCfY^RGvvR=-^Vwfm)@i7gH3XE|0fB`Ymc~>bUUku< zBburk6uYXrD*)EGnbg&VP{Yd!2Qp*fa=6>#= z4t`7Gl;Y-ZjUxd7pE0a1*C;T6NHC?lzys_$u`TLhs3NI~d8I5#gEV^NCdv&42ozz*J)N?JB zC`}DxhK0A&6E{2G?yuCHN;oso58YG}%$?@qxc_4o{sgj4B(c3A- z^M+^OrUXj!4di+8I9_(Xb)YD>fL*qD$3K5-H(z*aI3PEDA?{R0XFrr5Hd-Vow_dB! zJIgeGv1S?-r9`$(J}p3vxB%+fXYrXAi7~UjE|4l`&Cpe8u7H=N>#Fe43%BI6(l;Y2 z#>6%lHN7%pMO)kg3J*>Tq`E@h^NSI1B0?s=4#wbPUIfZ!Fe%_8i(*=;~D2V;`cA`a7t6{idXnrGHDD3Vu{YyXq>=n^W ztCGtd1L(ZEpm@l?j~I|=?Yy`$sNuVzc?$Pv-j*QPW_Z0?SGhL^6y|rGzVI2}u*UYP zU(?d~67GmVm<^WWaqP@34NZ1aEvE78Mkn<<&lgtGE}GF86ZzTh+P>cXFyw=6&ZJ#z z0=|TN2`4k;BA*Y&2v?!j&_Evj-oA?YS2 zp+eVs|HsGGurh5sY_f$p@KCn>?kydZGc}yrSvP%5I>qG~onQdECyd-ky0tkfSj~zj zc~G=tUz=vWas69}KWh=LZ9_|tQ*qAYa24HNinAHoB{E5xAF+1#CWV9o-6}J zCh&_gZ^Bu>%m#-mrk(bMrmJMj^R}i6D{5KIamS-;jn=z(&mkFac&(oi%(`ytMbm`} zo~P?!7$F=c^fN-|ZDONg=f$oZD}31AtnLo714|y^wNdRWEFDsHXtCwkimpHW{p)2; zr7BW^0|G9JSCXzUO;%UO5OJJ4lC<>GGjkeemzQ6fdcqMZgXOG&$W7>newV&bYC>G} zc)G#et~Qff?k47us_UeB(U{LraF2&&iQ02sDV3~70uauvAs2=X3-MA}C`|9>NN!3; zV2K?-Z>L%p;3ewz$04!ScaeuGFJP-Rk&D->x-}~W%?YGlt`-9|caj%$vvC7l-tx5s z9Dv!nZCF&v?b0SGlK_{+U2e$6;qK57w;r|!{lQ%LWbMkDS7XB>fh}m^xCK9EpE@NDIS@%B$v}bz57#~^m13fO-J)xirw{gzqJF6s*Ox$WIUD= z@N&O`jiBdDXV2wROnt1}|Gb0Boy-=BBSGnF+@X5;_6G7@MRSC^$(jQCml`0EedC_| z*^jumD)e-x^r!TOzJ)(kz70U{b>dQD5m)FRY)n6VYER%-U z`z_o-qGB9M)Qq3<2Cq*1aSv!3vbai7GP1Dq%HT_Q6+za1b7~(S3!5<)BWeg}r^F<~{m}k3h-u3H6gh25C5nl5lq*8$+0pZO#7JdekvwhI zdX(-D+Rq0`)eApknXVBC!6x$PBB8Bkm_-I z61lw{h#}c*f_Xj2ZQ&NIJa^YG7gHRy)*$M1@{&$TXvTqI$#6tlC^+H449sDSMv^qYh_M=L`)s$?P*+w_hv$X%tL>9 zLvZ$uQG(#F=%Sj1;tu7XZhJu!*6Tse{(kw@*Wl$7^e&J3lAlI4&&h?lIP?eDbdHTh z+!6Da8;epnt1EMl52lTZYA-V-J=@!7@x%vyL#5n@%l8Xcm%e@H z-5K%1DPP=Q#=jAe_@Fw06O>!u^7!et8fxnb{9<2P^=FZeQi}zh=#Q%E1pWFN48cYP>I9CQ<2W4GgG=k{ z>&XJ{05LEo`E85az ztS3Ky?0eyG$zSv%Ki?E_O};Mfo};c2?8D5iB@2UVS(AvXtEcJ=&E|niQRSy1X3f)U&AHRk zjigW1!m_sTXl|S{+KJCIe&@91`I{;KJOLrsbEfYHw+8u;!gop4v4y#Kt=OXHl-?DE zmoJa}*g^{Pd1R3Z0_p@I4|jfiwQ~|^b8_A-^86fONHp2Tf~mAXnsB&~Ox|E|Qp_=e zQ6rZjq)t{-8G>nKTvg{J{mJfCw{71qt72>}lLu>~@BK;+Wbn&{TmEt^3-Yt~wZU3E z(x#S{>?ERqaAmrv{tf-+fr*KUPEn@F-VXhvZjx&P%u4`E$xt&5x6)zuZ} zkpY;ps5^p+yD4>k7e1HTBzv z!Z5FA&03rXrd)!3gMQkE_+43gm=s;$4Ba>MGL-a&6R*3$&s^@4hta;kFk+3 zbVZ!+H#Id5?bR2)8+mf^H8;0scz75=xaN)%B2AQh(z|@Pxgey->C|i;`($n0W4d7s z9S=MJLd4*;D9n67%Hdy!I6O!J^|i$l`{4$D$M6;IbAF10Gc?4!y;PD?G0!7(H+i&X z+JGPF*UWx;+iA$SxUEp`Bqb$=D=j1^B>pPu=AocuPvQ4Yo)foZ#fn_cPi6)vNw3__ zpww>+DJ+hTqZyju@b0z1PCVbX3DM=`m>4eoj)2l=Jyvj>!rQ|WI2o(MaB)(@f$l)8 zxB3Rz*vL0|V8?aED{QP|1#7vA}Fi3~hV7Hn=Fj>I(Lu@dA zt$~_+9C%7@f7THSeRr}qynfwA*q!KdizuNHty}(dq*AdkCGVK({uz!=_qe`+qALrx z>rl^w^XjjIpS`2!3nP6uzTR}*!lWp+I4*Fg8a=u&Sd^NYs$N9Sx=szavKd*uJlT@W zlG`wU3%aVoclUCy(OX)b)Cmp@*d9-Ms>xTpr1@(ECsS~`J;e6+P5ug7Tm56BCsj9UGgg45{@J08bXi^~aRN3L4Pi68{i; z(S8_^bxwJwal*l#C={;3aku{SC34z-&Xmeo&dp=JQU-I*geuJLd#Cbr+tNfz7O8HnuLpFlf*S-9!fh{M8QqwVzF8|Rt+e~+TK>LA*0*vIr=MqM%a%+ROhKHr6;wx#RKgDj>fV( zm7Rv7lc+&_coCm=Ee@=binvTI@iE&=2}1RV{PcdhFn-QV%TUWPraN;pGKNB@L=vC# zA=`ftD5)q)%&M&BBnbh8OVCC2ZP>BsZbG5ZRX|Lyp?=wPa>5G^PosmqXvJ53iV>we zPoJTC5fg77kaOBeFQ*UMw^2zvM9oKMYUY0Ou&Uv+2lwCTuCK1H?(FRB?#^{oNGd3# zwzRZ_g@p;H<#q+VXayxx40BA!$^Yn~Er&0+Ht|*4;76xO%Q4c(ZEsO`q%km7eA6N8 zu<&pn4CikWg!OQ-aj|?8f0Q%3s&rwX9FphpQWb*L_|bjx&sk4 zTXRW(yUp-8Y5$hyMbnjhTsf=#$BoZ>ugyb(LIN!o*E*X&*4d768YlGB-5j&pYdJG5 z!go1THsj%oH>! z1+m+@zSimv%h{KcA-NhIK7OX@==x~CYTuu=I5$d9w!@cnbq((scRJS6q4sRku{XYc z^!`oyPTowC|MmP^3GG+~-k6>$($G}_8DH8eD^OFXr0*jDp1aUmw!kM7VJ6h-tNI{Q z6|w}BVcqz_)EK@6!M_EVnXNklaP=754I!*)3d>tCKEv%)5TCP3HFrO*?JWH}>U)uh zON_t(T$ieZ7eDK-2V3QxJ?QD*kAiGq+IipQftb2&-D!>OKdVe1!=dH2WCGN9L!oOi zVe8e__4*(FjC)oOsU|_$eINt^0ZdB1e(uI@H)XezW{9Q56(1o9itHur{Jeh6~ zBgQ&p1)6gW{6Q!b3Q~N3yG2GC4N1D(^!aU^v8emr9Qq^B(n-!6{$tVxHs2*YRy59# zLS2w8TE9$u%z1T6&GO9NpVlx}nfNIS&odnzw|hpY;_L^;ij&%KVcEt0yIp|My6ghF zwb8qUg?S7NjGzhV8xb8qIu0RYvXFPOGSuNtzmU^lNgP*)Vj*u*zKDFm(s5_rQR~3f zwztVXP;i_4_+F?XapJ)ej8^dE21tcE-^*_u&ub{*L7n>Y@dX~;~eLAY*Yhm9C#Z#>OZAI_W(Xu_u!;? z96kA*?le~~U!$h?ii>`HMnV2GcV-p#m&xbfK4y9I*L>||x!Zu_zZ%?z8_c+uy%i2L zAyE}j8ERKwuBkA|t}m!Dd>*w4I-dWV$@MC-beleg^pKz?L4wV9M^2??%pd&Mkn%5= z6~IDfNrMYT6=yV-JCzdys+UZOS|JyoZ#IW0lWc)z165i<5y%``%zR zuz!JfF12OOD(@K1sWEuDg#8d$6McegFZ1{4@69u0V;|Zs2%Hr6@cYZ^6JH#X4Yugl=)%C_n7zrV`ubrfR+#PZ;0)f zz`N?NR8fPwwC#Ba%xQQyXMR;@Xy#)qko(ANalP98xI#IYI*w6S$A0)<2s{qMSow_uByXuBa7>7pGnEJo3H7uaFQe(mbQ@c?mQOU@+6s z$S1qF^G4FhhnC7F^EnH0oGCnfCQTwlxyV8T#fPy7S!&#F)`Qh_K!k-o4@9|r87_vm)j10sv zB+d+w&|)RCd-nX8U)|%sIqQotcEQADQM|*xJi6m6DTDssmDdi00p*KQI&SF;qj8Zh zo|^jBM*s2lj^C3?zzK!$@fi|n77=#G=^t^ncg`UD{S&U{9fZbIIsCREm`$4x#A-AV zPsqxo(_CqJT^>mS*)5>DI$ow=K3MX8P2Hrx?b;i3G?ip{khV2$cb7D_B#=Q3SRFS_ zp$b&fUEq}u&X5EGix(8ju^rT&VoT~qfW(!{r$o$uA<|S<}mzke(~#F0X2Dw*AHx zy)41oh|ZyPeM3@`w(>!>YKCp31PztXZPaQAEb=1!1m`SRNCycv$?(lLr7%12S&RAI zZ;rDL1_n3>NB(F~>VfMI$MZV-t#-8<> z^DhMdg5`z&4PM`q^Ldi$wfqko{8*n{giy5QzM-$u&=-Wt4X@LAKL#>0-wK<8N*5oI zkYF3CBw2e7eA7fjW}p*odE!0!$)^QZXJw`>g=PlxPf~`|-oDsgK@0nhOlfFnXz1wj zCpGgIc|R-&y-Q3=qLoihO!k619~6uo_t0YdcnXE=Pk%|n_TX9HvoYc~Y^y)MJ4>u( z;OC$3?Ukg9soY))-#ef^3^ zB8CY>z^t=(aL{bEP+w-yJt-$`XJ=P~U^mzZPg&`b6) z$knE5yVt))PPVzEWvtRvNl2*0%V5(_MjgK8D0@5+K}Kxmp^yp@O9GKeN=hd2In$); zG`S`5*k?OwMnp!^yJMw7yjHh9JM!8ac{ivm9GlQ$LoLQhtyX}<^ zHcSHh>D?2KtIL8pua8|b?I+xoHjVhVR4E13TP_zxwNn;i>^HVV4N^{i`7<`AZXJ}a z(_){~MLzr|7!wmWeE6i!mck0sHv=y;7r1z#|L`>7J-2(#D%UT_Bv(ZF9QUc=A~z4@dVkb9D}lPD?dUDBpvNZr2-@ zPGqAK0+}zKAQKDV7lTRWlqxuZ`xXb2d{fOPR?O+IvGPDZZ;~x$D%P#LW~a^=wSli! z!z@&K_IL!3u31?J76dHTqkoGgY<-ucvrwkkTj_cqbnsZ&D7yI1+HEQMV-pgzY;9R^ z7iw+WofgswG^I+ye|cklXySfncH)3uilD7-s>XIKgH%V&;%HeC5>ErrwQ_4VcMGRD zqP4SFU%aho=$Obo* z5@7CSHeYt19(Qfh)NbxOY|PQw_{1~$E_JFm#M_WiN9xK#x1u-WYlkyo0gjQ=aKX3O z5bF}2h@7b{vPWpZ0mlb3~NP1iq>r@J{y zh?4Ic^@+HOMTjxXRZ-Pask{q5wJFa1q^w2i_Dai|2%C&|JWq)hfbsO{Otq!fe&zE| zNJ|maTub?t*I99VbLWx#W~Kpj6?x?34cslsx{H}|mv3i;!zJFn5Us`%w7nWds%wUL zx1bH<6bAu-j#D$rEx5Yl^LT8gN0(%qGgr(7qQSgeF8lhjVnRO}aZy8dk)No0Nl4rb zAGXHxqljbSzIK#cy1aRLkFsT!-C-!#D$Ui))A+V>G$$g-gqO8BB1ZCG!j7YZdazfW zweF2>+|sK5yOMJ)q>y(h1MGOm|&)T zM6q+p;!x#IN{aXH#Zl!%1&N?}$YiZn$p}rDH%VzUE!YwOOlH z`wPhiCTd5Dqp7%2O#}ZL`W!XIz%F%$?wnm_(_8!ImI}Eer6ku2`#Azh_>KY@{)(U$ ztND*ByD08XPG}`6o4f{;!P%^4@qOciNMx(3(z?se{NAv!om5Tf6l_Eziz0K6E~C7$ z=IvFw{8~$dC+i~9OG|C~qZu*V`IY-KlDH2a$O&W*>hxbNmP!#OMl{ z4zftwu8#4?BH=ItP8dko1Slw21+M^1u88Vll#`RG1B1s^>r#&OKpwORknP zAT?R6<(g~+DQ4D)ABBtseJ2Z7y(v zsl#{HAtc{w&)TvK(EGwhCv|f#j1pI7cOu>uOnMyO4orQk3DI>@R5F(MsWkmVr3q5R zt!}f$NlAqrPgHZe|NN*ZQ!==`3zEWN+V;H_oZaHCtuz#xC+I0T&^EvFl$cIMOjri; zH6}*qz4Ek4gH{Uk1N=AA6gwZxsNHlyt#en!eB5@M=~*zvOwsw%lyDBqG^U)d7w1e0 zwFtd$Q}E-6cj~4}1lvNy10)y-5C{Z(cgp$d)YN`!;8MW#ZnCHanQRMrFG`E}^OrR_ z?nm2EXCX2K&~-)xHu?md!@Lv^6XV5wwgg|(^WFJ=G)h(y$*mYE`Khv>LcJyiTx~*Nk9_kpiizko)X~woy}ccb=Xi#Rd3tmd-fPGjx3^bUQFDCEqzj4V zFsH$lO<{=&z!Wo(H8-MG^Ao)JHtb(3o~RVuJGQvJ^3EmK5`*9UN8GJy>5Hu$d;wAO zW8Fby`g|**igR6Zt-}7OELHbS0j(|cO~KV@33RGE`=&fUb^iLKL}{X=)Ur~uNY&ka zuR`IigR}G2iL0QXAYK_a3kyrS>~{q!H}IrPnt}vys(51Ws^ID~PL23Y4ZzXNdg(*7 z0ny~KLca!vqPMz(&5i%Hzc%(>j^CYN><@1}psHg-aJufj^0vIG;j5Qx7aA%y0`8~V z)Bbeju=eu85fkOSaULEXJw`2K-9b6NXyf-pM4@%AYn#!!H62}Df_3ZM!JU1YjFbL1 zQy37lvU1g6;yZ3kR8&3j=B=*bRAs~lcVus-Y5}q;ibNnP7Lo^hYOmoV29LhA*5tts zSK7zM#==pRK{WP4-iFQpROk$PY=Vl@KcN2>`BQ2|bE5t5KtX8S#>4~TpwX`oYB&T$ zg}#M9qt=Xlc}by9=dCj*Ul;i2mxlkNn48Yo6>@m#;Vb+l169^L%5x2$QH(zcYw-bkrxk1y;8kwpp?p-%@OjtvmIqQ8nV1MxxEf zx^st*J>MNYb-i;;$FjY=yl%P%u;NM&hIZrE3#j>6$G49-zHAi>-RDmr)DZB)kzaj3 zjf(<}3a(zid)FJSQlirYN#rpw-Q z&d^^4ar3`@`}Y0&!=U#Lw-;MK2;|I-qaK-WSc@-mI*s`03Whm&Wx`jk_L>vvg_ubY zi8=fhD-S()GG-Vr1HU!(?a}=&Bg+EHM7baA4yVv@nJk@>0U6MZTL8Wi7b$X|QVD=! zVzV@?Y-|Y}=EmlAE=Q}Vc^;HhOce!tf3Gr;Q4Q(U)Yq4mDeU_^I2L;n6jJzMZ)Zbi z)GFdIF0V+7{rudfYGUTHzAps?iB6Q0ISV#p+)_Kf|7lEZ6JqtQsIu)vY=x@#@dE6U zrhl-5(BlDS`}_3YEW0s7v+lu7CnPaBi&`gs(r$gGGaX4nAt!`*aVOro4Xge1#$QB~ zz5ioT*|7{oB{ch%kdR=x+7&`t{RI#J(AAq zyCMqMH}hmgsg0RiXsqnYbSYio*sWspIW>0FV$~And1fGss3A^gGZtH6A!a=l`eklF z`DRWR8GR`pi&YX$jqHUW$QjJJv~`K&mNbv#2(4sg4xMu(@Y6|nIJ5Y_{m(_|cTgQl z3`?X}&1O|dYpQncorkiW42Y{8Mo&h_O;0Y5}wL?Me*$_}2tw71^n;gk6NMf08rs0bn zDXYZLlxdl^p0-EL>p3QzEA5@uzEZFh_n|8WsQuQsDnL?VZ@Z8g`$Z{mm7qc~jNvK# zkYfHvLoO$Nc-ebd%CO_-Jd<6Z;Pc;g zKg93q7g0!j*jS_M$z(Bz&vvzgMmC;7r*V3+{B!Gl3W^lu4wdd&r1hwpIlE_n>yR{S z$Ok!Ac@|B}0O^`$a=A!3#J)^GR~eKK83#Agr4h}$3k7gC%d4%eC0;Ln%d_=XYlbMKVP=x(wui%#Om?1<+#onE;Hh| z;t?}J$`+Ef^lo0bjpV80%v^E3AO#&bAhUVF)t?KzL$>>qq?~hqSqH>foRI@uow2<> z4xc}lRa15>8SxnsKyHtTiDR{bN5eQl(0AdHP2Fni=|h8wsR|B%9CK_6&Ln;ah?}vm zZaFa}?=9rakyTyhQJB~PNr+gHYInyE1-dBAupEURn68eu-sSp(C6xx}LWF}IIOOBJ z+sbI!z3X%X+S8KanbWh?cg$7P^erP}`;FhTwemCsrB!8vf92D~Y8gk#>9MJv@z$(< zZcUo|@sry`fBvdQq@yN+hOv)?&wDcSDgL9BxZ4BUkPI><&#Q=7-@0~uR^|L3-(wRW zGBY=Q&J7Mht^^HxznQe~|3O?-oMijAxM&rGjEkhCYOZYVEckOaahEk7^*~uXTvmBF z{!WUBLNW~lI^5x8>5sAcnW!Us8yg$1Z*Gv*oq&jFdu@#}5*ZE6%-DmiH#R`ci7e)m zGJyEM;HzETu_1qrfG%x@A_-m2iP&z6KEJD11u>bKo&PNYn!v&M2LP~fr=k#cdpVT{ zRh#BSMm+@+i%es_!p_dlf+<_b(Qt$D@$o5Vflox!os9=yVE6(Z_Gb+mZu8~#_ep!~ zFxxfB&3L3gLUDqzi|>Bk{p|n9n7?AF7h&G$_vloVTtfxXK=Dr%``FzXR5GX>?nx1C z6e5-(m4(C+o|@0FBFvm-%hX;vntZKMqB5a&qWA zDxOKzo0#F~E-#eJK>`xUwB6K{whzMVw0AN2=={}dRF@{PE`JC}f8*f)mA&uts8?{U zLTHu`8F@YMNSiTpYgsbWS_@j&;En9+({Rj=XlzT^?OuMggX#ncdgu-8R`hYQICq<8 zg_wDcq;E~lX8#+odvG8!DgmDSC8s5$YWSx_j0A+MAe#r1Gj3!1v_Mv*T)F-9h&Cnp z0|Q{^r?FwH-j{&Uy0llyVkEq7j%L}4C2Gdm#a;p(PjP%uXkqS_V|7%;ugOWVj89Z} zl39v%+Dx1M+8_`}d!02-hJj!T%A^_PZ7F*mf)R7FUnBQ7_ny$LjK@i;nNZWUv22*!LXzH`rHW`_-#U;DVQq%Ri;Q{+~dDC&tJZa*7u1*K4?p?;><;_LtM&@`&7rdBHrn zC6bS(CR9@n?%1WoZzc;Vh71cB+I(z2A#oV{2eCmtw!){F;uAl^w-+Ves|$lCynkws|!j&ZOr8 zq5amL;Cv@BGp+FfNOk_CsnX^!79^{iFrr9fHrt|hoAYhfuWFxf>vW6_T=imW|I#U^ zr^VH9b9AM|TWj=Yv5pB|tYF+&x;tf_KfnQNLUgt?x-WwJIq$9&>XVt7w^O#uCT`f= zm*>45w%1XoMBe9jb3sL^F|xu4NJHY3$Dp?M_LGxmSMc2}24~1ni{rJ+>_JCn8Z#DS zOR7o?cz9!v+u?|{VuSajIr0Mo79Jr@M>jQr4Un|?4d7{wKj9Sfs?~=wgM>C00sxv-Z}%HId#E{F~_o8aN4|dK0(Te zz#m&xa!sHIh7%jal~dIeeYNR*J#`KnJLUEudd)N}N-KvYgu}jSeKM`RgzSGp&DBu+ zkX#j~=48uqB3J%ob%sxGwLuRKTwPr$#eO_7C#JEFe(HN)$b0FH$m9Llx`KQa8wOn5 zoTdmxy!Uk}B|ig*z9_fRwofp6*UPwWZLE14f?=1}o5Nu_9CMx@L9M^d4Q}SomE82`6b202Q7X-8U>g2OB-7(oVRyZbYniT4}a{u2h}j% zNSdol6v*;+9Lz2uly}Wz)VK^u4#hN^DU+s*1J@MqH@fs0&>kesDc%^(yyQLUaiHhu z2lwWihBIXcQ^dHYpli*8!nP$BWaU$usf_gw4!>hY(NVsk0k`%`Gp7#xA(U9Y0uM=- ztZ|*WIvRx?73gR`7cvr^_uhiUowy(07HN4idbVC)p6HP9J}8?4ddy1!c-^5rGfLvf zSE`WjXYxRclLQ<)bj;=CU%po#01$PK@pib zIW?xFwl{8&LJhVUW?dG~E@c2KQONmxrg_b;Li7dL;!L}Z-p7XXXLp@1wf*VM{sArh z@51|YXMZXXT>P-od$}tggwRFt($dnhGcf#D zhwG%w5c(Ra5@_6<2;HGgZipe0NC-_#d{w4?ZBYYeV`aMYJdJJrd}s;f^SC%XJ#|?B z1LGrI7j}O+ZxYh8ZftI5XC=Mm+np|3)2gu)*+zA@eE9#FUo9Ruv3L0I2~(|+0cPlw zSY%DS97=gp^lVCME##};r%_=7)HTU+gCVP`YQ*G4AW$(+nJFgtl2uJjjgYWTh6X>l zv**S(;`^YaI?-W&&wFQhX}H#XLd#@)nvZm-wN~{*hVqbTelW%vW^F8^0Y4RWyFw#2YZf zt&gNsp^t=H8(Wc_to5W)!?H++=TOTs3qvB%|1(0Uw!r>~=PXn}+{@kIoO$Qr6gE>( z1RK@i0esQ_+d8o~$ntFGRzAaF+D@rxlj(b&gw>S;`#XT0$gt^Qpx zG+(>kg74qy<+L2c3cH5D8|qF1!^NAL%rv$3P!J{-R+Bf2^h#QalJ?j58bL1W<=r`wZivY{d#e_Yi6^u*=jb{C zhPPE>r*=)RTI43Ooejzu3;Ju%OH+@Tc-Bw6%_1FPQy;XiM#NL{HJ8`aF;S`1*`eWkQth8zUq2!W1K`p z7>&E*`v=BSrMJ4_D0M9lu9GizN&y-VwJ1p;e@V73PU0bno~cbDq<69JMs zb9Ry{O)`8o;XGwl{$wQbSm*r4d<5lT#Qp1RZ(9Gx1$e@BPlU>1oO*U^mbOe9qwB8G zpnbHCZRyppx!-=dH=T{>>aOr_tV0HYKG?E!$A_(?Kr!JH)~CPsT{q#EH0y zsW7*#i&SP+NXiSg?JT`d-M4HA`I!P3b1a8rR|h9wPK&$P?)z@#|Fyp|aw6wa%#+DDdP0>l+%*3Sa&Fn!+i62gFbsGRtoqi zVEIFF zSHf5=9Mu1j#^&;e2!|{jL`fl2L3|Q|XipBlef9X(&!4$BH$xJ%ycY2I=+2L_+s)X{ z8Ljpw+>X3n{GoXq8cyH2asHp92cur+P~hplZ2~+J-)r-oBOFGUu;Ua-00^ zhrsUM!wczZtKVOc;zrBWvJoIfOMT?Vz3r8?JT1Tjo4RP?NNk5UwG~r9dh#{#%FqugaK^f zS-bQEfM^L}Dt67&JRw#fLwK$c^Rw{Q$fz60+A z-k5Jl{c#y+uacXRmD-;_4McL@K{=gfG^;bSJoIW4)fW*t-RHB7yS^r_M%GH>oYmca zx>w@7Yd-}7%s&JjqlY4Vl$sX@U)rKZ8He#AIHL~)eMT%;V&v>qgkna_F3kIlhHRWQ zjI8}RlH?kpgd5absHd;YaB6&i=r7!RS&n+t<`*wAJ8cx+{Bp+9FZfyJsk!IxXAdHl znOf27>-jPW{?M@>7gUIP^e)t^**G6^=_)T^u_%U3hlwXQvE(eLw3apVCkGErN0sfk zR*ZTFVZTt*F>tSOfwe2Ria{n+Z_d4m1zoyM+(SE>S@>w0K3}zphHTV!Km9ZFU0y`; z?u>85wnLdH5xaTiGQ}vIXK`-zI^FT2(Fx zm7f?D!LKD$qt)rbGdCv(O-~V0Kaf?%+Wd`>`gS@E8F4MW0bAo6;%_IvRrUQOR>!7A zfHJ-@l{_a=FO+_-6Eq70^dBg;F4V`jCp|zZ)9-sw3y9*mc*TTCISC%Vle1fyh)c_` z0iRs%Ss#X!Zu0xujFudk$tp%q#B2&Ro6gL+NXm@C*Y*qFe%h21&>1w;(UaDhlek0Y zSxhxf?y_R-#%i}#^b6o^qe0JToqP+=aFdbvofxaB|C2zUH+WJFK>9=%tj(XZ2fH~W z3j*&CeK4HisEo#i6-np=T8~yk4XWcc^m#_FOs4Td)d786^XwI3NCrYKor@-xd2=O9 z!MsES2vp`S+X`%`P>e*>GznaqYHJ$J8A)3elQ&tNE%<5S)=W87`NN=ojuI1U?zM~# zsCOY+WHOiEN%mlv-871{*u}O##QTvUJoIr$g!$2-Ov4ZSo&A z{_K5DoN_a=_)c{au>5RLbqsN1B}8Z}dd!d{X|Peqt0bwjGI(YXfs67pXh>?;las5$ za@m1}BTdr`P2I}v%c|-fy?#DQbJTqkZsab0r$4EcOwv%|wPeu<=lJVmf8cm&%a)GPRJS|m4Yh0E7ejgy{?d#{SpT7AyfDpF;I`#NGO&n^E1lO|J({8y# znt1H(?Q^bVlNs||lyqb{-86d#BV%OU=Ilt__>Pa=w;~4?uC|9qjAYwv)xA#XgV&VE zFtNz8lPk6DE$Yx8t9*R^0QqlZn?K>B!K9WPnA7o%>slPQPh*h9qWf!gkakmd7@XY1 z;P>7yQ>P)*wE&S6Z*TgRbim!@BDH_u|3%(gM^*W)UBkD6U=f0}go=QaAl)S(E!`m9 z-Hjq3EhXL3Y`Qz7g-v%zcXz)_{r%2)&N=V%z0df@JHCIuJsd;!Q1-pVv*`Z2mz! zVU)~)X8QHMpPm3(lgznY>^B2wD+)AYKVy%K zc>9DebUxO#ozI*t@vg#f26{JD_Zqs}-k=Mm(RDrgHB)?y-0=Lbigjn?Ar*5s%#i0p zU76zIkB`L`v9MnEU&GOuqYZ4#^ie2gPn7wEik`TmiuDs>jMl7PusJ+H)NNW~sKQwB z$*00x5qksgRqO7+HpS(kG@0YPDR{NBc?~aaT5#Z6Gp#BwU9|}PfSlx(^7b}ldhLRg zS|cd)(C+sTzSw)uJ3Sv*?~1)q2pupk&Joo(>pYcezQkUMvk6gGBXMA(1AJ7E>Xr_vAvi^Mg0BV9-s2vP)8g?ugpO zPJ=^J8R4o{Gt=HGGS81OwffVcH80hu9-=K?k5abNEajmBgR}}{G;Gl^xB3aj_S9`^ zGyGBgpXfUIewn@{F9USAxvcF@0rI>^e4y&2IdsoWCA7N#Cb!lz4evHzoAk}pa5zi4 zR_vdM8|ZzQCLJ0X*-^*s4{MNzC8gd~`Sc5!UXja{8>%bPAysES65eyi;LSsh+SMLfdw_^R&Y}J5b|RMz z^-N5<=PV&l_zKSB$55m90~{nYqK6PDu~vHAxaW%D`?hMI0n6<9IX*tVeR*Z7v%C!+CIF#N924(Ic2Q<$QFMwoA&q)7c zA|7|@(6>-yXXn~jkq-28ef@m3|2fpr)deQq*v>Buw2uo63`FC(++7>YG%_**6cuE- zHjo|`hJoZo@31}9o542hWFIt_a6kKP6-{nfMKZSX-+M=An5uyVd;JX$g0V+__EzcK zYBl!+3Ngv61_$oWDOd=qI)Zx6pu;d@xGDkJA^p;t>O;R>9xbN zKVBc2`MT0gw0u>hQpdijd2J~y1PtgwCTqvnzV6SFIflBHmgHm4y)DO+h%bEIPd4gm zt+Pux$=80Kz#a32s<|?Qo};>f5W4jDzf^UJdP3GFwUu395&D!d~1`9|ZBaX&(yzhUQjxjQ*qBDPPQ7;wn= z4T0|Txgv}(Gu(=y-Rfd^hfGSHFx>HCAW-n)TC{=HX6_{WLj2IlQqgg)tZ5HA=;@hle5U=twXyhp~?Mk z82P!6q{{de5O9W>J#O{}+{w7wo|Fequ~cy&@V4u1kR=f$RYn{38zJ)HI==X-E$sVi zzuuB~`?doZf=h~vAKz%y*!N6N6GEGto03V~)9Yaq7 zLe_o~AkB#s(GdB`L|IB@&BE5*>W#Hg!WsFncY~njC8g~Z$=>`uHCg)qP(1SsB`q&=)byNNw@pP<64JwFR* zC8|K%3R^r}voWsDbgQp|hiTf|_8X33sI@E45^CJMpDKc{pQu)Z7hE}$y%eKv??wH!oKA#$ zdV12RmV;*nJjPb6@n9*+S1QszS|3)gwp|;6O`acZ+)B24QO0V!`c6SXK}BT(^bmVI z%EZXX$ixJk4rI&YIr73TvMH-X9MrB@Em=2Wx1_@qDKYfXj_@#?a?PtO&5691{{TS- zJv~Kbgtse*Ck`7t6oPio=>^~1&iqf%!#{jKy~pV}BIL<T^hA`^fhMM}8DfHuN6W3vHuPGA~owfJ( zKIi*$AV}hj`rDWU*UkSEe-)q&l|G5vV71vP3pk)EcSK2aApy4zy-j?=Ehc&4yv>V= z+OGS)Z#jE0re*y0@ckDkwYSU*3XSr8o*=Abr|4IaTYrb3Z>2wz0(|QyALA7mS z5(i`JTpaRL+1j@k$tQ_E^X(g&{A^R?6Ygy@^;g1p-Ig)h__R$8Qwq&M7P~vEq9mof z$c6YU6i>_|WU^`@KQ#u0dr4LU#0Ry^;N?K zbH}iDM{3fbpmi9jNb#Xhl9ArJ&`rVq?O^@8ho-BcSc9-g$RSE2#GJ-JFVKP*W$E%wze z8`C;uJiOV9nI!A=H!d5-eGG@wVk}>HEIh?h41JMzSu4DTrOr$!UUS-m4!!NBOsxG$ z9i>k5_`ba8LgFR2xaL^{saaV`cLfBU5()}S8Ow2S@h#!Aqh-mv2$8j6ef$OZW7wJbwd~Hv?vY9lAj8T-3`ZheYvE5qaN{ABX_n+Kd{ zp@hnkFu*~~C{#O5ZJ^ScND11L)ki_1~XBjY0R*>K? z<@;>!xG_YU&)Dn$8_CfTCS&FbGWUvIs`+X>Txb_<@H}?v78CJh0+X@emO632+&z`d zM62{i^(JKsgdDF4UL(gP3YGHp-0%JU|A&4Mj9Z?Sl;H?4Ybcj4YZn$B-vkO#$mow|=Q&H({{DVFJ-rZOp5xn&xTi08fM6gShYG<7`}9(`{|bKVldFmgVCz5vaY2)Se9N z%36H`>}x>6jEwAyYNWm)Acy(;(h1I0XoYK9gK0n5)zzoBr)xOa*++8tUTjRPR&7AL zXKNu5lMAC)XgskmA3Qfss+qYYPp`N-*xIYwK%sx%2mzfH0O1hb>({p-P;m!u6%|LF zLv}`Bs?$jM)Onc!BzM8n?uSXfzPkFoy&a%1Yt|>w&x5l&ckYa>u7Akeo3fMHioZ*F zyh|gc7h9UIKp@x89?4&dl9x8(a340&O>xw*N0 z>zm^x5lX^)&d-@pWP{Bx5uX;2-TO$gJHBfC_IG4{AJAVMvrD*$#W9<$Pn6|~j)Xo|MTQ=$WXgOl|6n(CE;-y`nqs$Q@xI+YshWxFQ2hWl zI5L9m_vY!-r%<8g9KUg1nmwl~n~wzg_bFUr?0|F_43?YA;RK5U4z9#R+Nf^5lJTv* zmmTZZ(%*|UCSVhj*=;40W>eL8!}E^z&!?(v+Gl2Frl+}>ne98nUOIvkD5N!WIGe2PxJK!2Yc>0NTsS%3@-M12q6;nRdxI z2x>K2obYudA1qH&)#Dz!8n)1nMD;HOj(Un{xw#XqH&lpIR8qu2*RcR#*=9n<97j!QtGs-(oq&Q3eQ z(9_yF-kBXzR>qVBw-A!BO)%%Gv=!{_?b|TlbFK*`xTk=lxU;uVhdhBx7uUOPyrs7~ ziF)?F)R!g%bzSPY6La0<&}r!cw~xj4x4QyK3q}nRc8)y17x27d>XRMJ&>6BoXWy8} z7rF>bOvvAkI587RUj=enH@u^!t*r6L!NUZkgaR>fWx^{AZmEfq^O?blkakYhJ0p3@ znAq6mK&vY&JBW-%G&Vkdc6thV78MnRguubzK z2~}$^VYyg}V~6W1nU}4)ps$EFdcy6Ete%olbrlE#jbPdrXm~KkGNpFrT4vGi?#|j- z3B2o@0=|J@e5QdOSfVo1zvBJ}vLSss@B>WFBPjZk{!!+^J|<_-Y1(rg3=7TJBEwnD zHG|qilOQQo#3U&d_ChhKq*o!xszrD6TS~J6!{P;`H*m&G25l2oHpM$Au%j9(aX4oE z4~{jEJQj0;7}U>v>Q-8(C)ngPw}__)#~hh^9Ea8psjk(pYc!J`P%6XRog<0{D5~v8 zPwH!V(0hIT48vYTzM)NpXmS1NW)%X} z3Uko?#6kkb&(9CK7tzIJ*mKrQ$Dc|f4dYk*idvNox%<*NFtG6K=yKL1c!g7*FqK&B z{E(0`Qg?ObWUgz2#W5HJ1NG&z{BrYYQ9rxKiv9uE}-~KeR**jrmkOBinX80Q$EG`Ag*r^=xE^< z5*3?kIL7ui-Nb^{WF9|!4qm`bvk$$izwX#K~BgivY8&ct|Q z@D{h7iL>ZNgnh~me(^R?R!B=r`=5=2ZB-7X^BqUx)yo9Q(ZRv8ii%lxyHDa1#!^w453X`8w-y99K*!#RWAR%E|PWp#?In;>1e)fRAj~ zY&A8^($s0lsoQQHB%BbNZsei>!{MB?%8(KP7a1P|o(orIzt-|{h{5Pl==RS+yixM# z0mxH-{X`fw*uVryIE09hUK=r_{ov$87#7QB-al|81a=js75sAdANR6KKQR6v{#y$m z*;*-MvypX_AgYp`WM{U{ZMRy$_<~h6Q$Ew!nbK&Odn4#=E|n1@eq2xg=;)vx?()it zRLtwvlqiI#cD>hl%UnM$$pQm7a1<{}yP64Z*Wd6yuYPub49Soo<-Z8I#XGpQG#G?X zdV`_mR98RuZ}ndPm}bNT0pvdG^YisvD}mnvR(dt6)hXm-^Tx#di!r-sJ_^Uu-|~EK zXv3)GW1seU@Z@`QV8P~q!?y`ojL7$G5gdd*TuvV~Qi^c8o?7mp)#+0yoW%j{fxc;( zOs1x%?$(zv<6+7O5~UKzKOSy*HzW_D3kBkae*H@J_PAWu=u-1>p3)U>s9HuE?QhhJeZ4!J67Ag;w56b>Z%8XRS{U~@3UJo>&t!*`_iut znx!*Gj{Bw&E#B0v`ASr1Ue_$Vvws0a%!W)Io*P);+0X(Ue`0G;SpB&=57SP^@gkO zK%C;~Qt>`A2X%>hTNP*lbkG|jM3FkJ1EjXN}kArM&j^wqp(;G zmDwU8R&JnTlm#x67B4}WGtSeAIhgE9_DJb9qF zsdMZA5)&1xYL0x%h*n~dp(EO)xFrh8kpDytcWO39sU+8e=yTUmV;H-LZqCx6F(V1< ztQo;j-cQqP1r$}`p4w29C>~W8dfkOcK@(aess|OVz6Eu-#plhJy9}}hM2^Hfv5&q@ z1NB=Ebsi3?FD47I^-g~gC8W(vhbG8XjD={{?zs5p>WsM-o~{raZiNz zqcXVq=z(~L2BCQaN~=n`=_n?f`Zga|A`~ zkwHQ9PYDUrV2iV}wFL;!%*>4K2`b3FEFLxM8 zz7(KDGlX9&JU`XZ9%0#t^fCuT5;t7ou%Ds0VS^s0@q7f(8erg*rR*-p)GtP)YiHg{ zv_$AkBJy?QiU8{Awt-s?ak`%dR~?2}T&UD2SMgc4>phV$QsseiBWGkG%E-3XRy_j) zgvQQr@}Qs~F)=Z6$#{zJPFp)Whn*Q3^t+&&80htN2MQ;XaK64|gT&)mrLAPQ*Vl8X zA3k^>CMA``VxeJWwO6dy{rJe^Vyglh8=K4du%ol{7L@Xi;-4f#md8~Z4$YHDBPnw8 ziPCJ7(R?t=GNy#kJHrIgrxQpc(iaiX^jq~%v=)oN%*RnFc=MnA3$=@`?+TC zAtm80$8zh3aU`Fs=((w}#Z*;Q6%`%!RbIKdxp8r+gB(e}B)bYX_d@tlx3iI~m1RKA z`um0PEoSrSKw~S;nn&AHRUiy^wzr802x@dYF(9QX>lctX*c7|Ey1swc1#llcY7lQ) zf5etbRSNac=qR~}^(GJsw}*Hfu7+w`h=}-QXJ>Z5`vW&AgA8)(?1oOa8-o7E`T-#!?HQv_Hdbw& zU0p9hcbTlBe46DTbi^jz*IsBL^vulVpxAF~t5#|d8xT+uE=z1;V#1v?JGG8`UM|3m zyQS5g#&GD&eq1y^07210br<87MnNlPh`9l|C#QQe2xbqXCCHu1j_a%PeU`=#&1Dw` zgD-IFtHPf>bPLYBVjiBm`h*cix>Vd%Jk=oe#yO5|olsxl>lc)W(I;O<4#XY9YU%bn zuK0^8e{NrA>x%=0sFIuOPy70}r<_Lcbr-2wZlVKIETs~C2_>bmk9Qu3OGprNI=(kB z0KO~)s15kRxw!%KyV`9*+pwo+B5;H?$EpvEYI?YVS!J_Xen`x%mMyQV`z7YJ^{+Q7L#9W$CkgZKFL#~e&Bem^G zx+;zV4M*bny7D|e3*l|t%g|irUfU&t<3t<>la+Tm*PkU=3i4OG2iIyWH>S8b*+;ch zP&qQfPWpr4vf&7e-IZ=Xv$d3KW z-y`bA9DP+CA^%$vkzQWu$NcG|x>q~t+jeuz@z=}|=%bWifpL_ND72+ZN;8{tpkpu- z)-;2JDvb8VM_Z;PN*`XllB9I^c4F46tf{#Hta&)O6p|;f$P#k9MoVTQ@PeEOJU38u zOw7wz20nDA8Z5GK<%+_QHc+qu4RBRubH98+2W>;eGqMTc<}Bp(;e2InzbAYIOn8Jb zSaH-ZLJTTwbY*_lUqB1p8-zq9+7yhRG)OY4sY zR-o?TxmEB;)>KyRN}Qc6y!Kw=M@pDmUe1YzUtAF2$xium9(v@y%JihMr-8(2M7&Bru%Ni=M4SO9gvZt@kb-E== z3h!~j^ly$XM{K(j-Ba{|bx)eqV87jzHECal^bxm|%GURa{&d|X+qo7P&&-dg&o&r3 z*5hsuqoIkf;XO$f0oS>Osi)?fEZNV*+bEv1vBiKgihGRJ&&Cgzm##75NKmF!(hSge z1MCGDDd>Hp=dG*rBLt|`1T0>rrqm(rve?ef&bK{FN=i~y(9qDJ!lEKJ`^~YVjnM+N zs@u@5TerX-<`)ovc^47GkCcMq?$IbUSc+NuJ30)TKiz|VuB>Dh6x{T}5TN@olN)q1 zso7heDrdne8x*^Qei8*tBQLj_Ge*DI0XtTpzrV~6c<|@X$;NMeCiXU^)@-X26Z7lp zu8wo56!JcUMV;Mg{`*pQ6e^+ry$28M?5vb4%+0tAD3Lji>M)8ywIE37#gf`Z<0;H< zpL(MZ$dMJ;j99q1MF;H?tF1@Z7HjuwH3g`ZEeL-wu5%4JeD6SO54tiuyE15SS|1)0 z8*)%b!G|M3TlJGfmlx9m8-n2b=bm-CX)3RufYe5biP8mw%KCLM7{iN3ZSV>8&95Ep z?SuSY_?t~PWisST(WT#ldWLpFo;-n%JPan{V%PqDmndXqXD393=^(s5eIuwe6Vs%Z zNzSO_3;Tv#AQe+Zu*z)qx5x=q;h3G|s*NDq{fta)f=xLIsTZ&bgStmXb}xd2bV}IH z&z-9uwp?CbzLd>iJ+%J0Unx%3QtKNt`^m~`Wgg!x%^HGaA`;%2KjIt+yayo)#0ek= z_e8KY!}X`5Yb`oGVwllwCF^9foKX3&MdAe7(C{mN`laVSEoo~~k<`@W`WYW;yEip1 zR`DQR^v+|KjjH^Nr;@Xn4;bo;#b!f|EA+`8)sU+{^ll^VDe#N_rwGXttUu) z2uIj4rhP|@0`25h#*0Nik^smU(yr54hWjuhvmpNJvO_Gk5-I zX8Iv=1f*Myj6?$s-6a;c|4XKV<~$L6F)x;|8r|R?@UV`+($Y&)3iTwv9bzmn1*FMLlRjUO3 z;y^X5Cz>8%*l?uX71qujzP|K5hQT1!&QRUAEI3PxB>AF`{ir;6!s$7muUp2}?tOp! z8usH7GvpCLYRzla%VDsVQlOpA2Vh~6pU$efWFBSA)rLCU zHXhESEgNxut}9%U?}yP7lv`dTsXmcRrX>7frvr6Dv#jW1rbq!FE1qT~M{9$E2!5@# zzO*pMLTg&@!o{9WQb)a{CCcaSuCFiTc!~ktRjs`Xkw!*7-jFt7VlRGnB<6xjvU3+G zgD_-hsXPi>_LWwTg)!D+vnV}sM*Ezu-{q3stOut)GEDIK9w~H+O81(eEI9daFy+9O z+Eb#Sr_^|i9cfAVs~T-VP7a3O8{lDV(N6-MaIy<9!&_DG>)#Z$b(Lhwg*1F6bH@7_ zPB!erZ0+kW-C7QF}yKje;?D*vD>X=4HIWAzJ!u;ytHosDYw_ z!hOVaP%Aq|MU}EioDr#i9{TofnB&>}QYR)kLMHM&`Rm_eySoELDejzcq$1gJDj-xRX9+{>lAt3>TiQONy7#|(n9M8H+?N|CU5A5X}4%;JBk@2n< zLu-Q+?0*=Ck!~WnE638kUxH*SdHu8G>3ZbC#)TY2962Kz6gawG||q14Dkv z`G9Zssl@dAcjufPpGz!=CZ0IC=UclBEH_aw$s4vEkYv-LBq3)%Q=_feLvmmKfd^zQ z)hZG|?9ksII?_h%BN#1`ZXhsR8XCqGMx0@G~(m$hu9Ej5n4~B&RA!IDcs_ znW?&9SDKy4sCPM0$#xqwc>pt%GdUGvvxEvJ&$p;b->fDc_U0FAHnw z^7~L4tpwFGh;Nq)}2(u)}k6DSLte`VOHH zbH7=lH&uDeEGQ@lYOt>;D8`EQ0)m54t9iM&lqx3l&k3b{M}+5;-(K+AC8{q3=kT9T z?ERIi;G?~I!?08DLUZ-wxG?HzOCwcL=?9&=G#ge_I{60Jif;i);6bFiV9?q0&X61Q z)}eg)Qi~4PW~KLzC#`z5=~N{>4UHM-{0s_$T3T8lFFIK6p`xYLC^yA}R@c`7_AklG zT0Pxc2$JJ4pJHW>s{_Ck+|Woh;sE2DAZfhYE&8+&r~9SSvrx!0JbVyvBSRAo-&8L0 zCAgGwC-f7&w`GxMfrO&WWHci^oy+yKuetfQ+bG_FDV{Ry2MiFJ%G6&$SsL7NT<T+ghJ zH^*(3f86o}smKT3pFe-@9>956WVXPX2fc6lcn9>YETjGKeyo(;^dIV}-(Se@Tgvj< zIo_HikLm`@p2y{$@bl--4-@oxw_Nj<3^J+0Ov%FWTpa@e-vL<5w6uj(u0b`m_=Na# zB~{gfvrBzli+>~{Ec7lP-rHFn5%J8pBnREXOwP_mEju2m^4{xfRU%7`yfB(0#J zZ)}`n=oiFdxik|S_0HJ)pd@aclP>|wc%@K!8x);Oi;D+5nX}sJWetagi1Osk4zBVO zw`Jk=bwRG==yxAJeE8V+5n{j0Y<7S`EV|x02Txr42m-{O(5qi^RC%smy=!Kg2PS1q z^>}->!2_%STbrgmKdMJNbI$&iYuOUcSK*K(U(gR;IL?($n`)P29Ic40u)n%}!H&>R z9Uvu1NT}hwK}2snD7;Mlaf5ETrwP=f**Gv?`?oH=+HUQwn6IsiYAUdbBosK}_<`wS zt5$BlU$y5K;D?lg<7(KQ=+jxbQ$nCbiwssE!R%CzL%Je8+_C|v? zj(c%mzI*|OFate3BhS&hb~m*a&(TJ5%CX#qPwJ><^>lS9P-O$nQ+60{`K6WQPp9w9%{-App3JS_Eu@z)GUYuT7xI2(821*PkdwbG{ zB_O!{y|_3zv8j~+tJ~#;j0X15+l`4_F6%J+u|ln`QI#mb&d1Ve-G)xj&cK-mX%Fa3 zV=ITCI@1VODjXeRVkh;Mj%HZ3h}KU{8EG~+CZ-2uqMl%ke``p zVqwv6weF8a^$f5yl8LNVXZz^_zS=;u0eW_TbW#-_{bQ!VfL5n%q2uB4=!$rWTKA-q6P$=cx1+VpqNO%fRp}!c6%Ku);7FNz=J*Zp z!*_j{eNiFA1lgU(#)$%71P7MC?0F&4I%=;OXGLq~u_|@1ZR8@yGlbD5a=5J7r^p#) zYg^PQuF=(f`4^?t_ujtG zmvfzM7r8J_sOx9(mx`TSBxZ3q&4j*tA7G7#cO-Cz-g8rI`4?mEcn1${e!R zwAW0TxEO@?F*&HX%w?Q{w!1Id*kh45s$UVg`ASG_SwvIh(X1B2O9?gjq;(YA-OINc zt3NMwdjvE%uMgc@x~1t_q&<(wa~Z90$CXHzs&NNfn#!Mt&KSn>QFFjhR4ufJf)6%S zvzDTOkEa;hcR0aM<=xv8NlbX%W43(F4uC^Fd)|B)j89EYh_j|1_{lCkJtNb_OW zY}|hf(m!?T-fk?(gc1H>DR$~76DBHvJ&EMhEn2Sy&C|4EyX+22X2R;4EahxRn!?Vr zH}v^^4r53~Yj)*;xTsecD!`|S>IUfK&c^{zx^Y4F&193>A)O6$0T@Qcp07HEa@L5{+q$%|1U86Uv2;lwL@N;U*ve&bD`u+5NZ60j`u_)3Z(Wdhw-=05F**P@MRUP>jqhZQlA_0DuJ_~U$Nc^5 zO4bXwj>#o88}9v{6|jxHc=6&Pk`931P+z)OR1g6Rz|KLq^v@_MDXFQcxwzcGxm=*B zB9ONsKtP)Xs^HjIScE+8wV}rCK?LpkB@UZo^tP*gDp9<#K3VjX0`JFu-0=jmC6Iyq zrND|-xd@gsnJvpkHj$tFQPStO{*wdMx5R#H0+4N>!Ip}WQt}SFP9n|o+{h|BJ-rtJ ztph-`+25}{^Rpr|YTSxW)`S)a&l4GqWJE>%wS`O#4Jne~#0>i4T3U0- zd|vJVRs($;kX7}9EgNWqAap`PK&?D^^2Gi6Y(*%C3)I0Ou(yMDp4lTW&>zMr_@c!1 zpaR&5h9-=YmHFqc(Cv1o>)kE@CzzSJ62f!cIbizyZ!LhKuI{J$!G~E1A!V1Fwt`_j z|Ihrv<`ckC49}TH?ZUr@PyvSgd0}lZ$%&7!_U19jU?cI;Vt-~&tjyoTi zk;FYSmL-QKR1YZ4gk%p&#qUuZp?_xGPKwaN9t@58dV z7TIs^cz*Jrb^c?`MP@8LmctL`64WX8mq1`ORkD0NC}j5P>Fn+~|B>bu%!Om{-Y7%w z!x6Iy#`q*yjZgt@COG&B^5*zx<2hR1#>3p>2Za?I*yhX>u($15sAcMxxX0Izwvk0dJV z9CrPpn5p7!D+UK+&Jb_r;J9fykz#`wPJK{N8Q@x6x@WE~PIe|M3K9}vG0G?GB8B~h zebZ6q>hWcpj_bJwekN14ci->+S4hu+#Lzl@8!bV3CMt9AB>Xd9aTErPFFj_@5o{Tz z-q2Xz>-gG>hy69#-f=o!!0}b2d)s8ako>PqfZ6p~_k6gluy52%wQ%6XVJKU`&ym{u z07&)r0%5(?S%6E?0gTmR$L#_IZP-IG1RAg!ukKuFBc~-(vBl>@_g{Nrdp%ZXBA$Ac zhZ1-}W^k=Z^kNY53w*b>FjP8?AKaw@>wB0t1UR%)5MzPbSEb9%oa zlnlEf^I6&-)uiNfJ0BVx#DwDG;~%SAioN<^>j@8ZzyOC~Jzaq(!MnN!pn^y1*G{v) zb1bUM;B6Ph`tFX7cTX~Kr}<}%5Fe9!{U4Q9!!tAW$438m=mhVxD0kNOb*-(~vX}3d zrBpPBvvS}+i>XkOI_6yt%a8FlCK+~kS5q=&ikIbc{u`o!Wh&?@SWP9y<0^YCljC@6 zrr5YCLQ7$S5rWKejkd3rFXLs@p1L|)6{U^eh(ETFImwCxf)(1ud;43Hn>ou;gTt!;kpRg$iXooDZatXWcIM+EY?ec&-iyfy~s2 zljvMhpiP_ND$#H{{eR9akiTDbc>xqw>jXifT~)^)_Ea4V{=qGbvZreta$*)^)*jya z%r4Jl|LlL3K)jNkB`_z5RT~X?AHyCJf4t`3)33;IVBtMkc*_-k>UUIrtS9CLmZIym$ro?Uyy1~gOWpVT^^;eg+a^VG0J8D!+C4Ld~J*H?4J2xt@e86bk&F}wpR(Vl4ekxAeRcaCQQrSfUS%Tp0_GO!urx5rBNfq|-g;tRqp4DVxMK||H&u-v z^iIHT7WC59G;ZLSnz9KF)P`=ofe+vT#HJNDT#DkY!*&-QCuU!ATfYeMWCnmuEKGL| zg+~^jx#9SeBf(~|JYi(^8BDxA!F=piOUB@7zK(NY{slnQheJ0$e$5jfQ7^GvcGXn?Fmd=D6eLwsi|3(vNXRwF(h7DxELymNLI6N5K5CkBz2 z?mdIP$SKI=3B&FzclZyz+F~Q;fl<|E&({UU(;> zr-4-VA0ZUU#L;(2Z(v#spY77Nv6?9;Mnj~yOZ#12q92lhzG-x(Y0jv3kfYOq6yqKr#w*QQPN#X@pNG7G_7eO> zlJ+zYCs;cFI6L|ovxwnjA~KYbK&iL9yj(oKnUW~L@ETNJ7?hudM%%GGxO?}lgoNPq zA3rbpj}lcMpiBpc#ul-@dbQJ=P{ZW#f_xU3kQn{{Qcu}BTADHaWmRtCBv>o`QW-R9 zTpqONHwk_Ke}c?)fcoj~o1k=il_C_%_{s5d>0Ettgn#;^hsW$)7RPPb)ipJVF$F)x z%EcKDGiOs8PwBAK+9qjVTt9W} zX!Rn5-sp|WL*?hLs^|BAT}lI7s{ZzWy%ZI2f=Fpf%INaRTg#{keihTxi!AP$Z9UrL zQ6XU!PwTI)KGp9OcfYij(PMg<7Z%dWNnoTNHoeZ#jaVxy44ZgQ@D4ffuTkY9RbFQz=9+|9T+KkTKDw7bd z&brrfA*pLv|86(V72u?Pu#!IaggZVd2$-DafCpX0=(1|#0y>r{* z_(nk~U61SN$|Yr+%d~x44<4+toGiF{Z83aOVk?WeZMBkgp=XY!A5^lwokMwPHe0?^ zoQwN7z2%ATl5j&YEBA0yU!T^xNHxGwtXnw=lDe;4wFr%LplSbnJ^d6=ktGF{@{) zqS0R2@#l_C&mY-~C0o%<&)oD`EWSrZ5PGmaR2;)&@h$r`lm6d6hJt!85ez8#e91fV z!lI7CWzXP81>PGeLi;GR`X_rQDH~D&M4G3^{_?wriKgkwD4Wkjy27L-|F;b_>;vDZ zMskQXa@hSHqaaizCggLS_e~FI)g}``Pw8^a&p8^Oa}vLbF(8Juw_?EqfD8<4VBHwi ztB|h0Y(3Q^t2?DXSyb|Vu3qFoj&mkEqB+;iPr}r_R6Kb(ZnCusl__WD!mU;25jH81Dz=v%kN25mlah=bJb)K zg|Jx9eO2o&Ks3uNSYV#`N*-ycp54$@BU)@lMAOTrkYvUSqs#y6IaXoiiR;v+kD(rQ zFYieb+E-J$0$=mXFBv%U+#UcHg?H(F!uB$MEBeF*S7GHUuF&JauZQaT)Tw~><#5Vq z3^Ct|6ssj8$w}N^9n9B^Vl7ABoy++|haQ6DmfE-coJoBwR+^vfR6?*foeyig#CV1l?1Idj02(1(0y_W^|wT_o=x3zORBiQ-dz%^VV zMB-f~-xv?aKjAM7aw!f7ZX;s7@kjhT{vdhfY#C+MsXk$q02L)6u|a5i57*nd~$LIg9Wt ztY0_(+bH>9#o!m=wZR0Wka65@q6-L` z!^X5AO&GZ^JZ!K&k)!WZ3q2lgsS~BsvSm5qiorqx`=9&#eY<2k&hE_No$d+749enU z8)JJ6^z=L|+=(=`RsxO-K8HJ#x)WRq=J*A4Vhz-AaWNU1NL)OE``*n112P^rFF{QQ zF({*;K$cw0{nG5`@_uWkB=J9HX)5z3nodv(tOjDn=G68#K8<3}a4ZCgzmL;`_T zWQjz*8PutM_+wQ(5}M8~Q@wO^yl{Ukt>K{GPKkF=HLaxIES`9QZ9XD;bS9Lu%)Sl1*!WDh?> zc0ZpAR<}&)rOnBHJH2`%uhH25@B_(0mdEybXWRfOAz5delM$;g+KPb==;2Wr1VSP< z73=I+6bDFx(QfMEB12CE|f5wbnh=;dL~b-JPulT2~bS`z&FPEENH+I5vY$FTX9 z3GjRQGub984&}rYke7H9^=?7VLz?KDqK-i4m0UvVf^Np_R5+J24l z%YhH+MmzSPs=m)fd5eioS(~FFu;L{6FW6>cz4Osc<(bq0gXtY`Ha96oo#bGQIH020 z6Gqa-a8Tpit}mT3>m|jpO^i-4$inYI@WgD+Yn9klz1{pIE2Y>)GyRw3_xSVIbsTau zUl6K=pAM>2tJM+z^!lT6XH0T#E8)3jEff^7r&+Xi($h=leFx+r8-2N>gYlUTVLRZW zA4xUp9i8^ScY>o5QJ)ejtJ8#c=ji3|X!%6QcP|#NQ(`PU`fUvUme!aRr<)tg81_w$ zl7@x`w2c9-NdYcjeO=w_BZJ~M&*(SIvC+}L#K!tp|LM&`l0XGlAOwx*fzCwRN<(7? z)E`Z@MJ4Oq)(?#y6aMiVukH4|yZyf^JIko3+jff&Dbj)nND6{9NJ^(5tPJ;>OpQGmfl+#PN z7VJA!{>U$obD~(l8Mc2ytp-pYdU}(P5voTlqQ zzsKs-CkJo`1~0{gfMTP!`b^yh#4dqFks*`ML>JfA(%!vmaG2n->H#Mpa28$nakqU3 zA0TjrJ9AyOCQrt<&_Z@BRyrEUPx!KP4G1Sz$LAIso z?X*`CY!>ry+%~sA|C!Wg7&NS)s$3qbIg|FjzkFs`a}>$1F@j_fpi|+~F^n$eh+s-X z9z7O_v67M|R(R*13j=ORX=*ch;%{in7XkfrohLc4fdv@qWCkUE@?DtM?R!YpWB@nk z`E^X;O9x&%b|#x+F5jMbBZrIgi(j|v)!u4UGx27tG54sY-~AQM=-ICx5YTFjxD^C^ zMl@pH#1PogiCCttKeE$xKgg1Q$zQ^Zs7zgZYs5 z75BGENu{NwAXLD?*Y7AV68xkYe9;)z>lxUsy+OHdL`z zFJfXY&}6dK^ERFQsB|hdAL(W>p+!B~7>n&KnU>gHAf!jd95I*P`D(=q2_paWyFEHV zcImyJ-}`SxVa#-f6kVNj`BqX%mgeS*fYgpAMNiCIR(u zf&-;aEu*5j}$QsYOr}mN+Qx(G_TE0y$6%;>> zI(=$Xu`Yg2QKtDJ=;tvy5$_KaW1Uy%Yx7rk3wQ^$GO*Vj>%8RJ7PwF1U2V`BpneXk zgAlcl8>RqT6uAkd_+c2!YpY}7zJiuWRO!7QV;Y&#wu$%Jtm9V*y;$maZd;EJObZEFS)ex#BE^=1i-`^a?qfD~ zc8|O+cjXEzX<7l95c>XJaGeZJwX17jXFprb%ndkag)FbG0tgFhO0QO#cH%=j?%_Ly zlp@~+j|m6E2MF)&Gj?3kDLbV(sI1%{;Y_KLsz4!zXDf!aSv}=z(vi$Em*SmaITATy zx87}b^U>k< zf~ftB42y8*E;`;nj?K>A@0-kpb393gAs(_f!Xxk`?Z1Y+{P9|w?1zZ;%>FIUH#Pb~ zT`c1M8l8|JhX`_&Hs{7Kk@8`DKDeY13O4Riao=hA6?P>2`#`{WwAS`ynigB~Bwws{tI_Gakz zeTc4gJVZ)PP7W-#TAnE+Sg-R$)r~n#>AHk=uDCy$S>L&nRUzmy)(TU4A{T@WeHU+B~> z&xR_ZJPoRRwz9eVj03u!U8;KeOE>PjMtz>HE26U*ySjSF{`*t*Es}u&nlUR9m+mC9 zR@A`Ud2i!{$!E9tVAwo&`t(glg%|X5a+0J2ctT4SkqE)HenZ^V-mI%dfj8KmEfRiT z3s3m!zHfhazHFo;O8Z!yyf_D&SgoqQAX~qj8S|8Mov#|@G(?m!9-hrw54_~gN#SHX zzi_=BjRwh6#U=e>amSQp=5T{YMp4q_B*6pWG1x%!#;@%@ZL0u02Iv0ioDkqP-5d;N zy9GEyARIX^wur=oI%*NqjT39>Bi5-hJb4ZVzX-GXL6T?Bo}F&hppun}%F5W|k+7Sq z6LN0j&%n-?|NIA7^Np41U<4I#Kz^TZA||ToafW3;uUqUNbK6jX0+1?=h7$hghs(>$ zAa4NnoT?xxz<^jhIf^a#)Thbgv)-zWSi{+)R!TA3-$85PibY=Bh7IqM`0abAW<5RfYRP<6d#bX6 z8NxV9#q}CtsDVQme)|pr?X&sTz3;nEit6o=w-$rh?ziT8%+{Fr((8HO2rC*LZW^FtG|Jy(W7t*E-1my6Ts4zOofEkhgR89l?Y%9LuJ6|VfnTJ-J zRH+WY|1h(&-1<8e<0BP{i*@0LMM@SW!@5QM-6`0y<8pjb)rRQO8Z2{Q0qm!_#?mU9 z+i7;|O|X0%b(PI8eAw9_KBRz^q)a9$9NP^NWZJx^3z4ey7JQ^dRR~{sz31A!dKT+Z8UD42b^G{7 zN#m>SdKEWkrbl-D-wK~bUZ)z%}7Eq6@h&G@Ty`I~P zZ9Td-3ByzV41~%K@9FmPby?c?_|xgThih5!+{I|!mG#h_{Q!2TnS8daIxd33 z&CU9w5Jc)j7MhQ>qpe{oLttm-8;m+f@%m*-mjv>hxf8dJZ+^xxr)uE$cw+Rt)ZG{0 z+Zx}tK3nmUIxOlq&bZ~7wh-@R-2|MyQ+LVxE>BEV!26^S;7Rv&XPIkToNLC>M}S%b=3j>i#B6yn3kSzL z$v|4j_jFM_$RW1ul0d~#D_abgmmb_&*KOdF#qbuZ+qLR!b9ah<#?>fI$b&)79~FZ->+FYPBg0N213H3V7fbkV92z?6@`h|V9L~`p5uJN` zduCOJAjKX35O}Mb#V2ArR|5D0X(Kw(f|8QH#kH{k5!ZheC!Hw%geBP;CsE4vc~7a6MWhk>J|PJXbF-btR?h>wbS( zj#xr!OgBfDt#<5~+Mo#8Z)l85iF_KH0mgm~PQZ^3dfWh@wdscO9F&&Y6Xs86qVL?A ze5Wun-e{u>&>z|e!?9V zIQV-xL>9Td9!c^)stwOMI=Q#Pu5k1%&c2 zf>7|=4uawN7j+-VKW`UFKerKr;R_Z%o^EaypBipxm(GqAnO+dDE4a_Iv6V_35|=LY zUVu-ZuRw^;m#^UQke2U8Mepfip7B%5w93lL!NEZWH8>#0^S+~ujY|E+ifj&LI&HIa zAi8cSPm5>?94&yE@9)SOyq~4pCMvV!+Cjw`)ouRlgnJW+z0j|f^qEq=rhUQgyl#IS zB&0l|fTip6)|FsKU^!MO{LoPW!11YX(mo)>bPavY^Cn1L=t2$PJ*<-?>1vPOTEbPL zUokmSIUHA|MOoO}kGWcO;#FJ7Z7_+5h;VZgK|tl6w|9dF2gDS7?qlcWJNJHM8R$NL z!Z(v(jU)acEIg;c*FqsBZlZ$e`Tu(!L%)d8sOGb4JNJtEISq5o)6yBP34uY6OvQQW zHZ5z<5xE{RzGEU`rkT+if_?|hqqePYucH%GLDrcdyUAhp(qwn|WW-yd?bY;Ml;IxP zwSnYTCf6e5U18hqsh>k))YWq4J#PDj*JKYOzCArVm5WuzQU zFtf20PDN#$;KcY+68*JQi6**~k58l3OvmlijK@h$cEp8Rm|=XK1k%)V7NVuE3Yj(> z-;4$reljY8mmR(;ic7LDnYQ;x|NcCmN4rD??aMY6tz~7dg5xd@M%Oxvyj5NqKf%x> zNBl8^M4A4iuP4qz&4y@*;Kazs_3&+1=j@oemKKpt2!yGB&gYsIOA61h5Q-il9taHCfAl;<6$Pu15<80 zyB2Q86Wl&5W%O}xY~t-qE|U!0xV`45^9%hIeyD!4JJ~q@Xx{kw32f^e~vqW);I>uN#1~3L^CJj zgdUc7)bKR+zs)X4bja~AeI0G{5EdsFOBSW`vja?;Are{CiApjX)YY=1>2zY@%LWjY9G92R7&NIF6L&RxKe`(vf!@jbMy(&b3& zqcXQM{7E0#33F5sFfAgnC)6@3-Ip#AS9O9G>l1hgCIqF@N+n`Pb)3uLXzA{t`&)9$a{MfxCv?@MF_GKx5 zDqF0UQi!45psgxD#=|})V*ap2af@$X6+Gh>n-7Gkp_MGQ%iVWisRPCStx!b9Z=#uUSmzb-1>!k_yICaTj>m^GjXOnsh#}6>Ra|hQdz)F_ zibq|rc)q0xwcRDu(%DIRl{2-6qf6pU>Wkfv!8vlj{>qJVbsLZF{}%4sb5~ad$eJ;@ zdYO8zpmA&aOhX4}%K}%5H+x^O;gNO0+yqLYT$}xF^yz68Z+eWY+um_S&2i2HAjw6> zW*8OPjM_{$`d;jC<@H?fVrW}lxt*3RD?VsU$=Y!cWbQiJT|=J4|C(nEGEm@X{>^xP zc(Mj=lnJLF>05R68l=6Vw>?ltY6vm6v3jZFmv0It-PN$Z0 zg^>k(*X6-10Z&6F;W$kh#aZ$Ru#;YxUI3C|vG ztYNg1aY|-&`xfr&*jwx+33vt%{v~Iwz`acsO_tAX+CSc9;#j80WoTgzzh;;MmV? zEdFRQ?!!Ty@@k{U1eId3t<`)kp~~0347WBiQ~zI*I|SovMNE}UTJuLzlpVYos*)kj zG?06xt7UzGRLwpx4yBU!C6%dV&Hb=82$fhb^Of{e7{YmlSI2&+Ru9s^p-Ywi$vH`0kDG!DCtKv1TCTVk8#$0x>~~?*_kAn_8#^w`JnH1ekzqbbmcX-^0BPKROL@=2i zJ_NUl@8|#iMM7OsO(vo0$iEt;Q&UomK703^51t*6$Nj|C|3{irwPP6t{hfH}i);Sz uA7Oe5{tX}p12y>%f9e1DS%P5a4MwQ^Itj#-t>8~FjN(%@xe6K6;Qs*Q`r$nQ literal 0 HcmV?d00001 diff --git a/screenshots/LCD_TB_INIT2.png b/screenshots/LCD_TB_INIT2.png new file mode 100644 index 0000000000000000000000000000000000000000..8688f8c002fc5d2bbfa4fa3c7a4c3c7525d2d933 GIT binary patch literal 43144 zcmb?@WmubCwpvlJlB-~Pm-Oz*Is+cz3xTmJ4Go>bRu*lBqU53X^09E(&Gapq(`yO9s_r9 zNg7FjA7~EJ+RjKwSRH>JkK!1yh=H4^E;0%ds4FPAxXf(WNBv2_EmBK0Etj|Uwzj5r zF2J8iNN=4?Ke(8hymq&Av3M;dqwvmz#S|3@={1rJhbM>2u3?Y zcwZryZtb&0a-*eH(htI1<))};mX1bJ0MXV1zp{QfYm1at)j31_sI{d5wYn6KVJ zAX4#3pJo%B{rodRY(BH0w_iFtdXhpb2a;|*)04c@E7_gQc?%{!Wn>canXKo|Odbfh zQT`|%V7yQZHC;+J6njw!EQW#V^^eLt#D&#vxE2@FTd!&pg3W~;6Y%NtXJdP=ZmPgr zbu>2P)>2WdqG2z-R1l!BBf0dVEKomvMu;RrdCdIyBg?EIC%;4*sFWm; z^TtYB^MjpdI~n%hl-gQs%W*Z4*Q1eDcwJ8y{*>a)Uo;RUD106hv3BPyqo)Wlc&NfN_2r4Y50~xWliwg*)!W2c~RR;W?h*NKR!yr%(zX;~B=m*I=MNumhH}`XDi(5IHHCf%0gYZ^zI6Ub(%;-LP8o+ZqmY0R zMRP*$WU2AHm)z8QgkrZm073kw0PQ=uyi8+{q1xo`hGCIUw40TdzRKZ#Zk;Q#LjLdB zmyO9GLura4?gI7i;_Q{;v^GodpvTW$f2_|`G6hHms;+VG!sI*h*u5>y(!2H&U#s?)<%TqqhgLwi7WtdKsW}2t-IpmQT@^Do2Ekw5R)1i1f}xIKIf+C`N~~_dq&BIk?oNH^*}-&`C5>s4jBomc1{h5uI*SzxR~Ip0^?#iE^4AuRp2-`G+T$5u!D`SK6y zw>!n9W@YL%QoL1vDaoiKc3$y)wrOYxfyjx+It`dn3eu6>JMf})q1;wd(q1vk6E5BR zt^VT$LQJVPSF?6(owWc{F5EoEfk^)J0<@3nQ_mJrnb7pvZ#cn@hhR*Uj=J ze%Tn5%Ga7(qAD`IBDy=n=Po%{Sqt*sExG*yV9+^6j$b<+TX3#D4KC56KU0lVKfHV$ zui~Fm=lgv$z#v6_w7ut3!K`)M!Dt1_6m?8D5*G)DPF0eMdPhONJrcFqs0n!=yRs@h z2&4p&|4!=XgL-km@J}16BSatVXz1&M9yVW*6o*ZCPzqiW3?=`AeB*lszNXYv~S=xN~Bke+k;-6I(Y)d>}h+(kyNBP%_;$C6o}8wV6qx=;kj zITY~;TY*&hBPA$CmDq}gB0ZJndc3bT-&?Z}<27$89>#gSoSAyl$|8$)F6v3Ik|Glb zgr}dCD(BMwZnUpczv9k%k!3o|nOG~K!}l18h_Z##)jK|!fAp8Z_nPPnh0Jt0dYX^{ z5iXeT*ozok-`400tc-oSxl4AmswypU9QvH7tWX6@b;E{qg&#ZO^m)NU=@uT$476p8Beelf%_ zJjh~=9{Ks!g)inbu*wE+@%uMjwt|f+Z(L5NRYMNxuFFK0tVSsdZ%`{)`TK==3Ji0Jgno$Xqt+dGzR!SVHl#K2CQWQU*!To$lxvclKL4q!H>pUJ}j6A5U9Dx6dv^9wRlF zAb&g|0Gyo|8DRX8jy+cEaKvDrIdvb6{83XeKr z{L4Q#{(fKnt0xc_hvf@}@*+?;3TE6hB&108XnM5`PQJ^sPtHz`QZJB@GT1lS6OkBUz@j-=_|WAybkeRkk_mZyYJ?bMr~L_*>s zt2-q6dwGAa=_hU5rZErs;_P_+m4CzpuhB@p@)rSKyaZvHoV()P?-Lm96MM17g@lZb z;q-=fb4`xzLFBx)2zs{<7ZU{Y zz*--+8f0U=cP?|j2t7Hk)~ASm^mB*xX>G`M2+OA-A27IUrvQ7ZdG&jIB11~k?N+E? zn+iGlhM9U^wqsY=Rg}mWrKs&p(?%Y%ZjFs_HXHY;R`2I5)G#GdN6Sr>!{4nInv>CZ zbLvT2F;yEgh=TFP>!+Bvl0tri`!6hoZ8dw0k#@=4FDWD=iqF4pqGB&`z4Dj$5^Z(( zRWRspwqZDXIr|%5)e!S#g3sspl#O?hI48*DZKq~_6>HJtk}C@fhF!Fh>!c!V98T}2 zHA>sO1vQS+%hX_6AogMr*ipw@)Qh8e;#Tiv!|PN9qsjMkc$VWW*Ir7=b2@&3-IJyJ z6dD$qrp6NS^S4V6BG($LCH_2q@k3Ago}O0$!uS-XT^$xqUm*J&to(d!^L{RGzcIJ< z))2L|2YULnx4qh+#RGY(4Rv@ONGM#I3()7qzH$_dQ z7C3j;)y#TM6MQAya2dgpD@$%&tXqdKH}ny{JC$UQgS$wJ7&7$LMS%%z7@777yXp4> zUhjH*6B%kaF*WX{Zb{ajbgJT~%f4M;ci=4_(QM_D@pCIY+#O2K2D2`F6M>O$LlZ^wBCeXIGPCWACvL*l8Q=3CL_t!TX_IzO~1l;0ghk zrDrnVViLbh#1-^)j_b|pqK(5>0-l(M^GkzESQvBklH0SE zJ^o9P4y)Q{^`(!Ze86k`S5Lyu(zBL4>vdz%3zZja&gOA-`5m4al=KKN&E2UM_VpED zU1DyhB)S?^MDur3Sut$jf|S<#UZ!itnZ#)5&uRx*egCc~&cAn$Zd^hFvQ(6h^f_X! z1uq=<1r6W!H3ryUaOVq>K-JqT!>Zh2)G?Md-$z?t8-b z>YZzGy@58(wwmg>c^J_#fdhV7X)C+&g#FR$x<`08Cxs%HGli;pjiu!kUJ_k_*4L8p zA;rn5{pKvoW93(V-gh1>X@&=*0m|ufsXvTDLzwDiyq{7AbHCC|P7Y5*MRi55D7Btx z9PcBZuFmfc9!vLW3{3n$&{e&>I?#T9-&ow3SPpN1!+$#k_599bJ(`)47Y3b>BDXd6 z*u|yALC=qI?vbI-1-?DyLl5y#v`x1Kyql28H~+D|T5zMguOR2^rmJ8n;bwyZ$#Sbh zQHv0J$MHCir{-mbjv~`E?zCt1sN%LrXtC zqOsOJ)Z9Z#1DCV6zM~nS86HWiR({@O^=UY7e@08D>*BYSXI(=kkV1QQgny6NpPuJm zQzIqD>f=L+Cf;uL@4r6xe|6?r8cMzOzUiz}sp$!x=Gr^xZM99WV4c^_?g4E@-Orgt z#sJ*}<^`X#LT)CvBTZ0Leuq`Wq(HEn_eH&#;u5lVwbH!1)$;dF;e?@Rv<8z4eooju zE)m#i_u{^V+8b5qRxU}KsqrY28rug$A7MrA>$yJsAsCBPQNI6`qJE9lm_oq-^Hr11 zVXb%9t$CFjZqaHl1$o)7_ny}UsVidDT_bHury!=AL381v;M1^Ie={o9wx9PyYXX-> zRZ(*HU$%INBWC&Nwysr7(6Jl>j?q!+4!oF~|3Oy6D0#Ra@5ipr;F`bUcaf}W5AnVA zcQka})JM4W=^E-ekv4DM)@Ksp{%E;xtt$hQ^!nUJ*Ab_6L-_FT8gJqrY??PF{9*Rw z)wLwv_t#0ZgOBXH{RF3o1ijXjcoT2O`G>yn+}F@S>RsCyQ<_Atd<>*7%yG?+Vp{L# z<3|UdUQHFnkJCPcl8KgFeF)o9q{WRf6#HsW#^~3gVmeICW{P05I%}9`US7P0y*rpb zD&D(mjay73D6GQ~bjVvG;5g?CEL*-*4>*T9zRgRk6TCmF%RG9i3eXnkR zU(;Bracz*g6iGMxB+=!2y<4AhL_xK&M*6sLE@`76!xt@XNJ!j$XQ7BoZ_rX{;dymC zUlm!am7U~Su%k16V6ksOw%+#Q1NHAXQTIEyQ=|025bnYO5_TVYCDqxqH~q(5*k>QmHoKWKYBVeTnV@iwc)h(j*zd#*LFMCkn5^@ zUyx5GvT>(Lp?S^QA((h&&FfJf!x#V;5;c4O2% zMUcClAp47~ERs`h3tQw^PQ8M0nm%38BHrd>+?cc%4#phOWIgPQ_g0McgZSOTeEJpY zQAb2e-BS7THzxfjda)ADa1xd^KPJ}$gqq7MP3zyD?HQ}?O6Elx)TcQj9FGVq+^O!o zx2Fv&F*^G*a2_FLkZrN2(jS?uk=LT*wbJHpCf7B#Zlew$tmeiMisE2!f&bYER{G=4 zGN?U2WAyP0&`zmeE&cg`sQ*TCh`eXFqM5$Jb)(0Pg=~Dwl@qP1!Ptql#&UVl5;j!Bs0)=yChkP7h7>e0*Y7@rM_bj zdL^XNSlAEu5fwO&imQHhC0;pM_5OHntxX+`T_CorPun#YEP*({gyA0RW4M0pW5ZUY zRa1%DLCqr!!ok7G_xJC}))}|Z42df-R=)`+Jn_tSQ%~?5XfmIEsWh;7t|ODFWBBvx z`Y0?FwAx$PZlaD1ZE1C54Clt1W?7z>BX8Q^e?hp8t06C1dMv;R+d^QrIhy7C%6 z&)^#^$f{MZvy@`ldSiVdMgjp=+^X z2fqm48pf1$c8wvGZ;b?zk}=ZjD=V)P`a6A+Dl02vWA$iaLp#@kZ6&TRFObPH-*6}< zHSHbM+(Vr@6>bZae6yj9?R96u#a1L+-R~Qc$3rM`+4Yx!;pGo1`bV&^M$Q>^} z*)YBdqd6MSh^(2UkSm`SEhe}4dJk{!YO6^Oreb{uF$)L;a(i*0TZ?siVrlT3)i!u# zI~q2;sIrx|_~L+)srBQC^>OfWS>nuMTyz<{E45=~<}OQ-6N8$D<~0`I7IJypH9MbZ z?(PY}qv&p2^gxazc@{^@*$UG<#k3}&=Ar%Gk(ZWFeBNvgt^WS~dqXkggou!sSKv0b zXC&TNRE5Wt$(bNDriSDkf$?yacNdiN^N}oA_BQNF(`4+ zfW?wrDQ0G7TDg8$Zt<1E3Maa0gWDb2dBZNNZRczDttvF^UFdK80siCy0k=foL&SgW;a@ix{#twe+rtfWOuqsU-a%PH5(AlJq_F49inZxd@-Vekr0pRt;z0sy9-hX zykhCjq`p)6ea)WO#WfWDyDGBFSYCs&oedn*Gdil=A^Y@krZ+Bcq-2&xQPz(xx;WXO zZf93l=7es&YbGz#(E(CH|2vP1t1a==^*8$7UOhryZhJH3x=PqdQVC|S@G*(~Pp`>a zlE-Gzu+{Q)PF$x8+kKI5$TVh0C#U&%3~vqnLh%04Nz!V@6urLGGUcYTRx+%Jrh469 zY4SNKMb-ue2AMj-MjhdhDwC73YxovFpLD;!)XrE-+QP&9?Nii*8n~V;1d?~8FyfAj z>L1LtkvSoCQ_tac)#AW9s)Nuq6GC}dBdZQk_pO7ndYSq*LXT>Vv2DWnYwS0AMW>;y z&IQMJfpGViVG$8$iHX_}F5^uuFb6@TG|vi1mGJI1{~^BF0PSJT@b0$bp_5kk08u@; zN*HfCXjZ9FG-<(wQ_d$MveZ{09<$w?sI5})J;csr@fR-#U?(SnFyRDQqxmWYz}fop zR=lFrJcmSyod5%)d!fbE`?hR8%P>;%>=7AfRF>cU4J8)RdtMvYBL66uJWZ@l${KS7QMI^ zS)7)|sp{qoD(lNjY-FuZAEgsSQGx+j1Na~V$I&czC$6)5vxZ9k)GH=G#381~A5#Yc z@c54nMzJtiy@C|xy@BL!mf^i&Apn#ic0Xh+D_pE&KZdWwhS9FW7xaN3=*9w1HDDlAcfHltCL~3-XXfBhcH|`gy1K zttR2G_6AN^mFb@M-7gJY@Xk57m~-_uf0TpMHN4)#A`g zM_iuQK*@A&cT<Xg}XCE~ClpD$|O?%`b-ZD%_%?(CugK zXEJ`J&g`UA0?V~DEvP?8XA!#E!mzVU|DX6;N*^nEp3 zyNgUQg2DNIL@pnv3bfood9yS~u6|ta%qm4+<;Z04iI$qM#5j{rY#_^D=T(&oH+S5g zVnV1cb~g%zlkCOy06eU8#zd&gg#FY*s9X#9`h6+~Rl1kPT@h%OxM1h+Xn~InMWGJhMB;r>k?BzptoV|qG(T$s#SY67>?|Lj85fHl%8abX< zG=+0N%V8WIZljkp6`4M52}%Eb%|`MgN8pSB5+5`!mJIp;Bq&)~Ss`)gPsA^2?1&;I zOEjb;B9$59Frv41cXjLxO-dRrEWq&X@ye{c9*=9-wy9^V-)yzx^@6s&@T-xv$*1I9 z@+tiF_lJZ>&`~0?)Oj_FhS={r%{GH)4zxm+nTaB{+7mxgM;&(<)V=i7E`nK^TSK@| z{zmjtNHqJV=?rcRu~8+L7MNEQbH@fJxmj7u-j$HCuStM)Dm-@XuHhsk*`}Jt)jO!7 z=>+BMnc8#l33K|?!!hhw?|U}F7{Gw6vvZ#u%pe<; z&$PEwQbFeHE2)^7OMHWWrtnr88<~~p)mwE9SVBzLh(Nyio^Bd4o<mM=UI~-iGuzC!x;wY-}XX zw^IiPt#;9V+(dX!fJs+>C^{kd|6)nWM1wK|0-k^u#$F-qKPgoKu-1=3vL9cFz5Tow zxBJ?!&Y)m!r1V8mH*OJ8AQtUY%IHrh#fH=y&l#XkO-01e$_sgbIJ$4DywEa19&%-q z)a~Q4Lds|jIu0}|vi!Z$c$u&JzuVqRoTtFI+$3}lc)KZ_bS&tX#l0*%e;N*bDE07~ z=*t5+{;~gzJp986jIPB>{{)f{k`*+go7HRCzbum{hP!C=Xi63JxCW>0MhjmJX{AH^ z)NnhgGHdnb0HkclI^zt8QPCKRaBE~mA~ zs^$gZDW!}0Q_$~NJY|$izQ8#mTE50DI}x=vyq>yQvM!Uq{TR|yJOe*Z?zi_7`wQ9A z55|Ss zLyFyT;-UL>K2t9f>c0C#bONj4!r>u|X4fX~G3JUF53-ocPpUL}a8`AO!FgK<&$Nff zrp=bS3GK4+n=8#0pYJ4amhPukt$h!I-~E@U5CoDcuo3IKRz2-LF#ba^G^D3q$!K%6 zg#`i$Rwwxju5^b))^WM%0rkDS&X6a6LIk}QNzl2BQtZ%x4j-+7q%;sW{KW-O86h24 zU`z_#{=A#rr2~P??#joPSS(~8L#M%p9zVAg?{xKHNB3KBLmu_hWPEkmyU(Jfu*5O_ zUCMhs#|F;7;*Wa!ZZf*0bb)HsphLYF2?@ybL_&lQC5Zmqoo}e*(BLcWbJBaekutbn z8#^@;(ogHi-TJjjkEk1%$I|&zg|MYU`<4LzlP^MGr_?NUU2dnY<|v+{5kK|QC>B&& zNjnzt+Zyj+MtVcQy5;*3JUT!x_X3v}`fU@<^Le+mFQ3ACjO}(R2k%$`=?+J|6H9g>vZJ;@ zE(kfWce}1+vEN;))^0nHei?T=2K)w2EUi!g@Cp(U-6L^;u3<`BN;?(pe3g{EUwKe- zHxkHSmAxwi0kD*m&O$puS4ume8jI9HxDlZ-WtV=!1MBTV{4ZNZx&usYy^&BZA@h`hA2Ua1PoqSVwoa{rts4{^ zOHjy6kvY^=N@iYJTwLy0Q+z8Bp-yR~saL?#JGnzEX1x2wm7grD(m~+4`A-Lw=Xuv0FgO>fWE zBIiZ0-HUI8<8&gnX50qaFm=iGI_ocg?_0Mrr5{HG3z>{c2)jp9^NNgBszC?}>^m}) zvrt^^7|cRK0?3Bt^(weIZ{OQ*1%2HUd=jmj;-X$K%~(cL;@e#Py<8^K84989b|lG@ z-&e(nM}N*ZYZe(09BkO)lN3^F&^-4;BAnJhWpX$d)t^n>deI8uRWzhjPQ;8+qj^Nc zFcC{ZD4thZ5(ZB^-{Tc-tKp(4>M~*GD3_qoF#XBEWhRjsgBKz!v=}C!2)am~Dpgly zb>CVOqR}*`Z){Qj2c@X!`^H#9$1T!Z>nzCM+ILUaOz@BU;wWN!?7TUr)bc<%ZBkGA z@VWNm2Lj2LY<}&1iOBAU!aqVCy#OiO^W{+I_R$<6JbysH_N3l+yBD=Inc;H<&`_Zz z;nw!}&(FNzt^Ha)kBo1hh6^s2$h?sj)eLgn@zzq`?PO#s_DJD|0b!n&mNcZ+Zjk}u zcx@tQRk<~i&-FbB{XMOxyd#6^xJ~Y_U-$$wKF!>k=FJ7=>vWOm)dd+n`#bXk>MR$w z;G=o!9*d1LjnbYTd<$m0oY(QeXZ%xoC5qZ!>{B|c3?x#JsfFwrn5PD~t9pI{%TY9Y zM;0Zx32MlH@P5GZl`IGn`#tR(n2U(C)3>UxxCU3`IdRmGqp}o*Vm@(+f|BI6k_D*P+TKFO2 zZ(vLPttyHv;kt8GC|-Jjfrj2wJHOG;6f=_e)%}R=;)GU6{)mP8 zF7q7ti?XrP3a1L%@}S=O)>dw>P~6ott46r?;lcEWZAK@*4SMQj>vdkotXOl&pcWQF zF8`45hncdPh=m6$==;E<%1S?j1N~lIOA$9!>TsF=6n~r}ZVcx8nlxJzwrX!q(l7u5 z20N_s!`nph3wmCVs?fLgO`dR(yW_X^_D5!e z$;|o2)Lr~MZjiRio#8C+*02}Q7_NEQ`MPHH(2w%Mgik^pF2&a4@}QwjHos0b4>2r>Nk6xS5F%- z8h-ow^{2yXTj3uwoFkgp7m1`R^SBjGrIk;$WT- z1(j-dk4>IgfcvTVTt5kLmOxwMI7cxzPjp6xgLt2wY z7-d&h#H}p#DG2vBx&lZn!mkG1bsDb=%c-iJZAr%<`TcXAi`Uoh`QNUuj3>C;Sm(9? zn*Z;!(ms^Hpt*x@k9+~LhD}WOvrcza!c1wpm$r)+^Iegm>cV7M{BH8j`-wXfXop6H zbhkf@57iUeNXQF*8*$X}I*wa#Syc-#SVgE5n0N@iN^sKDgq!>azL#NdsH@H8+&x4t znl6l}e}UMDQ-%3V?Jrg%#tW3JG1lC|IB`kXexRHm-1irZ+aZux+Dn>0H+gYEa`KscZD<+b2ZVd8L4i9)d1mu32EdDns zuf zAXO5Al2u+)AM%Y+0$RgXhcbOEtE-TPkQ9wh7N${M8MVKQsQp4Rf(fp|g|P_87Q zyeCsXfP%!yrlqAVw)oys24km0&=~p~inO=-?zx>V_rjJuC~3?tVsDh~%eBZYg^N06 zAxsSvToWfue-OtDiTB}DK_PrmoJsP4MhXh*GT~nyjtdG4!2@KFaw@dpza5kq_N*g~42ae@ux zu9}Y@L`T8?i7yqbDhw2MCSjp?;+o-ZfkG%mbC(W*4keIt3Jn0)SNAvSRKM;;sjfFD z7&^K3sn?6zihU&Xrp^EjXzO78lfa z1nG`-4>4$RoZniFimoCbYQ{D*yfr-uFc(^<2xr-qBh!E18yS7ZbRI&?;uOJlOWA|=B;2oU09IN z=ur^{X8VORmj~fMHcGZ@oXT;TJ#zbtrxrDcK3YgF#r%N z|GL6tG{#z}cV{*DKZsW1VCO^f<&vAzWbOB_mP@~V<#!CftBu5Tbbe0QDE$6pil5@! zjn=bh-bPb8cF-^!`J*Ho8T?svJqz2%vRUG`40IgcH$2X9;l3$7 zw{B=0I{eLXj2snD=NO>M9mz!vW3qErrzu~A1J!Lm!a{nIQ(k%t4a;`axuwie90qeO zHqZJTZN0+ctG*sCzGJ)<5u7pFE&8-}TQn;{gnQNJUlCkxln;m!gKAa=CBn;+;RUdccptnlPvyR{`$}V!%2L{9hV)%|u=X9pX z#kY{|zjHf8p0CUwhHC1DQk7(NoBBEOMN{L{hRMhp;O`#3n*H2Z%!3=lE-FEJw9ise z7KT^v{*6AGA!7YSjnQyL#t*6-riI0F1YXz(Dmmky0>z({lk2mPBpnV~;E!XhH);p% z^1R&fDMWHXeJ=FN9-K;=?<|Z;BGi7l!!T^`7nu0Xaa#?q_xe2j@6RG}&A-9>Nt;1j zTC+-o5)tZe%A7wZNvXdz35=C}<27ke#d1sa5h`|ESEnJAaNi zxMd6eK$>>yS#f#@q8!RrA<`~l`A}y zg%|wT509$X)JJ#r$wmq9U0Lc-07_z$yZQO-vN_*YPxSQGO{b>~_4v7m%mpGAdnsJS|)P$kaMFSTLU}!%W zN~)nN)zOlvEEQHNN#p9`)SBRJF4Isdo2Xe|ioIld(g^}BviaW!6#3DC$6Bji)JQ*h zfmV;s5@J*I>9S>))&1V09ZP=RGSRj`IS3Vg`2F1-3N{&+ao1VB^X6TQgGG0gq1@&W zRP?AbhSKIdKJ}K$KyH8URC=%BOra@$CXZr4)J%2X3M}9*aJ{gzF0Sr(Eo)QP*HrDC z7faqCEB?48rRe!TlnBPCN@%|cRIRb_%&$c}Mw$+XoX_KXNFiAeJwNf#R7dDa6oW_{BwhNaxAP$%ljX0Pv_cdu4z) z1!%GEL*)sw>zEi6Dc+c1N+s)@$x}v@MWo^bT5HhWZ{vV1{b?C+h?e?g_iKQlG0Ug1 z{jJ(gGcKK+(@xJN@>?Q@Ry;RRBtsnF(gm{>Qi}Jq>AO`iP>|c%YwZ`85PKKf+ZHL! z_CrSH6&m5yxr8j{)mqv`oe={7MLOfn3$^%;37)tWzS!PujUu3~+G*r?B+@)S&WX6+ zs{8%^*z%Cm>ukHK>K_P9B)UL2-qiI^k+i?JS4M_k+iU6sM(eh}5ITY^y)HR73aqm)!oIhxE`~5vm>?|-M>(GTJaMWwlAZNzNmxPKMr=|BGwR_K?CRCIZbI3Kxm!= zZ~4R0LPLhP!aI%3lfr9jHH$Qu+uP=zq6SDpAXNbD=DPQq9J;x2ig(#EK7FW!xR0Ej zA3L=p(O%FV`ufZI7*#H)fBd0k>0m@!<^_ zwSNm-fVn*W@b%%x|2>9^sb=Lm`91Y0{ZG?II6SDOrPA_jfQO@7k#RaAroqkYFwkN1 z8@J6z=dRrI!VBb-Fw+vLt6Wb-(hXW1Pq!6cLT^c3x8lGfZVnzhmkZh$fV%9LZL>@F z8jRD3YN-8^-%klXZB`Q#nv6~O^>*hNi-HtoQ072{F*j1{U#rLW^FLa!hg`iJzHq=g zgFZzqzBSZa6aERcorB(&n+O^jOo)yWO{Jv?yBunhCJO*j5Jk$u@N`=;VGt-Z zfQ5wx%O`JSWMpBXiQ)^YU>~Wo^HC~aOa-ssa?dNNc*D^`RRWjU#wdfK_chBV_|i{} zg2f#D7IJ5C$q!Qkwr&vDY2XAlHK>FUHCScgp5|@nFkH!D9Jgv4ft6A#G zDm-2P19OS=8pKOq2_5p4t$~{`Onvv4-J2ViYhqm%gBX<=l+MLo({Nq=U5bzBR)Yyn z7_Fap7?pQ)cFxMIFoZQRK1MFGbOVAO&VV}S*saeb=6Ttf-)cc<_e3=s5DVOA@4h93dPfmQek0P+q<18 z#Vy4>L+#p)y_}<_nNP)cG>h%X^DYOYkj>FNb19|t)2m+8tr}&2ZLcp;LL7@SeP;$W zW?pr%JEz?_7tR(%xAP$3f}*r@%l;qu8xc`*ngwI99~GiLme&&5>>u~yfaa2(w8fXT zb`u9Yw6>lXag+BnC4?8Nx$YXB2=~KOet#;GxpLU_x2K!K(95+R&@(?9}SFsIZF5^^X5!T z9PNXiKVm4S4gP9qS(%Q5V+^R3gGM8g#zT7Eb4_i?30%skIpu7Rd>d`pn-XLB75y+I=ASQ_Ug%Grg&UF!QVgGwmr0u0pll{r&xwuV4R-_tnf& zeuES(h}{7Pgw`@+B@_hv?>bv!vi6E%fQ_`{!q3l7Pfsg1EZ}$75tSwg^7_~v4SU85 zq_o~`Z-LIDe@LWAKo1g-)29;bFYob?CP@Gc>PyL}GWsDh>Z@YK_WQKQig|++%8F^k$ z*b`zA^^;so6Z#!m=&b228Jmrb%5Pd`Gu6A@6rRv@)=yXq)OgjWFlCIZe(?KoSLKgr z&aYwvA1V4aTq_UNh_Hte?$A*olGUcJ&WT8%-BSx zIEii1lY~fCo{h>m-Te^H`+C6}4w;Bwu-W=@w1=+fFvR{bcg|Yd;Zxs*tBxMQ)wpNxYI;ZrNOT|0~x>dk@rNnXW2z z9STV&^)T#8A9XUVjlb=_S zg+#B4xb596|Aj$yq1pa7SE}f(D!`W$Gy&*x_)`OH5zGsT#8V|pfY2Fwxj_uHSWfn|Lt-kP`D=REyrXB;+wG{}6c$enUaOkM3BSw3 zx&YyTC>_2Y_d59A8Y&(&U5as5^R9~1%GeXAwKezxm(WS8(Q5$~q zPMEZRIvr0FgSXiK8`RcX)(Q=MZ?2encQj`_Hb@(dFu2Ly2dA0IevGw|hXpFQSF)9i z9_m7v68pR=1<`9fyO2GsD1+HpX2r0K*Zs3|*{AZR^+?I0*|)FIS-^QJNOM_`{VseG zo(IA7cU0SgJ@DSL&N4n1iAdsccDh~UToTQyPD!53V-DB(&MCm6pCcO`-iJ8O*?1Mx z1z4Z7@?OUrpUAbGhjWMb>w}Ar4^0>r_4MJVr|6TrAW8$OAJpLqKmt1a`;Cqpw?>NJ zaTeQfbV$gyv?%oF+FCX2rkJ@|Yg80B{U*;t<+^+3&|j^dF`3huRzc(kOPsN(J>cpt z#=_;v&vW%PnDZLX+Sd(4T()JxK)k5HtABHp_jn{-vV+TPmy9&$o`~UJ?@S zu(MZ&Sp38K+B>CAyQN~NS2?qM{w3T#;dA5RtfrerF91^?Ywku<$jZx$krdtr|IKF) z7@4dAm|ULIO#R7&W+fU$qZU*~jy^t3ai)QPWtrJ3^q|F_2IYjZJiw*J(M!yk$I|L}Hz(&gs?|HA>+sm`BJKG-IY>5h!FdmW4-zzw1n zxdSp}GB^d%hx?CVAA{eA;Najo$QdI2BqjhlO(XsA?tlL5YzyU{jfALSh&4adl@x^x^7n68P{}9DKUs`DAIQ1pJV<7>jr^< zhF>H>l>|@uHX4eUq@FRj>2r`A6$K6v^G!CC030tpVfHbw=bc{E$RDn*aG!C=6@&x? zrQ`(Z-pvmWB!>h*9)l^YH)Q*OycWr-!0zZv_Y1U8NXO!GMW+?b8eW34Mronux?F=2 z!B5xW=b+O*H;oC3hUG5Xw{4`#j@Yn$Xue*L<8%IvJ>OIi)}Pcy)s|_o^;7Ar5lhl$ zVM|%*{Bm?sd*J_Z>&iI%-s5PcfVT6oycj&(Rn z9xd!{t++JXV?ji6d7Jr8Psx2hw?-k}7#DDnf?Rd@O(N9hcIu~A<ZaQ;E7w3E4Edq;h>!i8-Z4C5cKD<&(k=^UHn`&2Eh{^^W9jwrcxX1^x7*|N3`Yy%5|_b3c>Lb3 z@7s^y9SS5ve`hb6+(iD+8Dc;?v z0uyEZa9r#t&b?s*lHeLVP6*CX?jhKSf#lojz%-5mLRI(rS!f7|@7duNGfC+gK#&A> zdQd2+@;jnz){09T^Tg4+5pxemfbZ(6G}fxQ;`793T8!P@EsEIleX-@13(e7i|H0Z@ z$HmcZ*}{!PaCZ;x?iL&xcXtc!t|1UCNN^{(yL*t}?oM!r;0|B$zB6;roHO&?x%YSb zucSk$>guZJS$plZ)=qSLzCAiR6C!zhj8`6eDD^O%yBAW<u+i3~&FDcUIM5s_~cUeTf!afpX(uMt#IvCi;H zV7T^D^u=FN*escksIf}ZNod(2X!oE-aPX4fMwB;=g( zM$p4gO{psy(T!3tLbmA0Wg&aXs;^AntK+xa{7RYb>26KEgKFu$r{J6zU^bw^)0DR6 zYEa*@u3<8-@%c223V41F27bYwc?Wn54;-(GzWtC8f`uXkz92xeBKr`}yf&y2B560_ zkd|A&iIBW`-yHY2=6Kd!!An2L`2!45{A|zzDE40gXlnejG(|*H{u1UW-D%$zL!bt`3r=BuX*pxu70#RgA^&{qA>u_sg~?2hw9 z%~dp3t4W(NGTpbJH7Ab^!WIZsR0Qre1zt$)j*qtG{iMGlLPXsd@o3}(l3V#uvXOv) zyyA&!^3~PZ_b~$fJ~P4wOG*-VQL}&yDBa(`E(>f5E-b)WwsA{VTE7;i5wTc@^3U9v zhwre&yVa^{ObGo?^(mO}?Otn5|LnSQV0=B1LcjmkQ1y>4gC*+!;!+K;F6VC7tCi*-;%A39&dT8EQ|bCh+h&p{*^Ez%J|DIQB3h0 zhL&y@j_BI4beja})?{SH8R^2d1=5Ltp>!w>RT%k_p$7%(K#?A3p zhln`JgG!>xX`oia`^Bu?NYh20)(k%&M=(;*O*-ApRirj5dUx8P>)^>EthF|?09wV> z$>8&U@)sOmGKT&yU98PF`H#r|(%w0GS>U~Bq=;nn?-)UVLAF(qYZ600yY)~&IV@8Z zqE%Hq)GdAYsm)ULK(VZ0x&^FQG^?%H`lhp9>#Q7>Dh&3+x(qQ))6I-r*h+Bc_N6$M!GF9SDs z4V|$ai#3952KH-9exgAu?xYWKW0kjScV943cb*jIP@sj7YmJM8gvtGGNK-GS7zH8x zQR7&Yw7_BH#gj^TpT^uCXzGmT88&$njxv&?^$G*NtVexoNk5~RXFro;$Q*8Sr;*-J zUhs`K$`Fn7IMDKZ`%;kglsT5fvauVkt+kxG=CW6hm6<|MO4~@wa#drNK^qMMP2IC9 zPozWQ&;WS1S6vu6H#94D7t9h=`zX&%tsL#x1il{`x9fs3H^=JOh;J{q^s-o8^FBmL zA1(rc$fy~;iK_^w2LnL{3$>i)AjR!LJPeqhXGurVwPuUs4C(nD_LuAr(B}P;diWva z5-)s3vE<>V3l`@oid#icIH)S_z~|1D%0lz|CUciTK&pa{2CDfwmVw5;S;usdc*gr+ zdrsTI@gSHQcDy(+7GtwNhv^!jTeFdfMk%p;dtv!l)QvS4NGR}UXkotPrH3*PoK)tg z!|!lZ%FmdWlG@^YVAffGd2=DvBv*xphPnPMX<*{V<2?a2LFsNLt*jPt>#%v!y?Uvw6%$onCFGrvSCXhMQC1F&e~ zXIo5EvI`Q`>g%bchxcHCZy##G z6USA}T7Gs6>HF7XOb6;q=+a3Cf35eT@YTrv4fXLWu`DT=Co52WA9aMA;Rd5;DQ8^AEZAMxqK83Tg-+Xwh})FT>Q3g3mPETU0a`jkwue z90Wl2m?6;MEEGe%67e^o5c#(Oi}F!}qaNPdVjrmBap4kqp zKY-y_q$4yOU$kWs3QgtQ6)4<^7D#+$^KQeF99k#^lc&L$kl*vDt_=gtAL?UFuBR4! zkg&%i*PFa3V&D^n=q)izNzKvt$ioK^U%{3Cbw_*W2)?k<*taRAYcNrjSO$0oRZrHHR!YxmRs3l}4e$oL5<|eioKd|4 zP5|CzKk9COLnaR_lry;vUWoBsnn^y~+zmAqCNDxC0=vKA_M-gFjt(472>GeTxcwBZ zNRI9sfp!8l6}=9JeFG1_x2$>`R{$F^K&}WyQ+$=np#8p`za6sORb4BbUn)eaGR1)A zC*WwN{;u3&w<6ItN^9k-Zr@A#FH^Ov7iw`Ek%VKx`*6krlnjlopC0|$PksWlbn&5Z ztk2xlbmQN}K*(QD^zGz5^;ER9F8M4TxHzF8Ez?6^FOTd{BsJDhv&uEYe63P>u@LQG z_F*5RmS_~j_+YOA%ykj3}%rHZM)a_H?I z(We)~x?T2|Uoz!VZvf$1pPFMHjuATNf7e(U7S!RA}p4e|f&KL_&hFiBOc)SsW!jI1@<#?@=N~;m+PKd}N65I|} ziJDm?#v7S`4mzWFQT5@(xEr#2c}r-Sk0nY4U#KLgl#3-}=)iwCI}t;GE3%hKAd)jq zJC7D5oablY7MSJL-`p5%2YvT)f>2fV1EtxeBZOa2{=les|3jAMwI()(AFHiR7~ z@K&^*_E-0K!Q)ejoHunp@E&BAy-9)+Gtc`tBZqtaVv2SkoUpW4<`XDb?fMv+dt(E# zZpO^jni9w`s(ePc>xN8Js+C1rGURvb>uQOde|ij@Flvv3zAct%uk4=MEo$N_P(uqP zy(NRKgqr1iy}^J*T>w7#&i2QM2@5?vy-2S&zfUiGNhwF>4Nkw2>N#D2B>}n%7nfDH z0^=^BAO`#9CqD5H@M*z}ux=r#ZT2@;A4Wns!dhu3b`kKGn?oAj)t$lKk8nB93Clyt zwXlCDMUYuSxa~@mRQ9aDb6d0c+E#OVG1Ixpp~L6>TZ|esMQ?qG#hEjfyOX%JEO^^} zB=J;lt?lsmaXj|)X~jp_cSw=#9ETXXWhRh+&ghX*Hr=D?$5B+ z2bfv@!)RDrjG9J_kI!i@C9E`NgvELfT_ZZ$)zaz{@2>NO{-Yg){D(n47kd89-d~)k zRsKgZ{bb75V1>`M?Bt?iJ2}B8%1%UKqfdHmuDvrPoKBEa}d#PbfR8HgF*=^+exI} zzyEm!ejo6IK$#pi{?X_P zk@N9t^C6O2Ebd%86OOV&v=*;;{3=xd0(Cl^By`xVY@#ZP3x|q)5(Gq)$ZR4B_ZHJj zMH~mIR{JGrjC36*GcqVauVs3|BYAUhu}NOR>mgGv_~uX+YhIG+|%@i6Cw@tLb?;{2n)d z!|2;Q+N54(bGO)HSv{C?tq!@KJZ?zsgctO6Xuc@G|1r_+FV^QE3Oi1Xa~6D9xVz1^ z#k7|86U>a0j*8b4F#C#`u3b_em3~-jF=X~|5&E*jEF0UA?!nOUxA5`sxTL*5AGa*ErCjx2hqi-E!ki@;4 zcvK-xz-277LTT~SmQy*x`rw20(=So2YM`WB%GSokFVZn!spnX$2P~Op?EnH~U}q^J z5T`I}YVsg>K|^~9 z{3ibiMn-1Z4CL;9+S~2L8Fu%^#TOOtkItvZy5JjIZ~NF=_p}x*dP$|Fnb044S*5r# zYV+h)73w@^edzB!NI7l?dK{}mI){8?)GTW}^$+e4RzSp89_xuy#tSk*3RI;tHs`-) z2$!qZVy53`x~77DI0!%qTiO$qlMxvot06%W3y=^>zDfsQ_x&r|M)p3pKCi@Rdi ze!NG8N`rKEAu6B$)aPF{L-hMcse&36ZQFN@-j?z@y$NR@__-IEy~zmS?@3rgjcn04 zsC;N<6D!&SF0FX+R8NzGvud5}}h&syB@nFU1F|Yd_ zgh7JWEj?P?ps?wq{UJ9I<*uiF)|)2;Y*r+YuCk(Kp6x;HSRLY+p?O`dTII!mu_ z{sQV;^b!nwURfnIQL(uOr0eYi9V9{KHeuek7Akvpo_Wy#fy8kIy_y7+v zIOnN782aY%0g`WS;a4dsFR5%2&BqkpEe{LPSYLucQdV=VD3H zQ{}{qSCG=wxKvF1%3x`SihQ`FFrU$ zl)U*mjUg;+@IuHmZ={f+KUYCrA`2P$D}T)XfqNb1I&zQ-79*32F;TP`Ax@TY1x!cZ zzQKdId>f;B~~CXrv%#70Tb1wVpdu}a5;N17zToo?}jwabR+YP-)8U`hZS8^BlPv@;wU z6{TPM2?g{GpS#+0C;@nF_C<}2j=lxK1}T|oB$5xZ0CE?pm@n^;2zi|MVkzaP^Tfo& z)YQ~~9|gK@rd~kXZDL}=nxjQ)6gwhNAa8SX(?M5?eZu8P1cCi6h+oN=KUP(Frli5) zgVl7R)X|sbo4#=>=Q4*5h+VG zMV6@{W|Lj(HqEey35J_XUVG!K={hp|AkbR|<$}waY;`5=oVZ?>V-9=&OxobZMtQ!v zyTaS)9G~G;&7(lg%$y>1agR$Gst;01d2yKLEBy7j4di9QoS{6yes#gdQ6=7G-Uz{A zp?+QATR75){QYR*PNzpWrX`q^CEIg~4elS-4|54nZuDo`_|dVjI1PUKBNFfoXYgzD z8_3GZz4ez)WN2z^bl#sN7ZmK!tT6-qP*O#V6Obl@(3;I0+S6uXiVqLFBuCJG=JyQYEr^2e#)KtPEW~H&nWXo%P=icckf^NeU z5GWpO%92cBT^u1o19GU{vU%;x-q9^&x*vAUYqr?sr^Aj{24N$vrExtr{qFV2emST( z!iHWl9%tgU9ikpmmviIH#Ri~fOtc;qgNKu#nWgmXLmu0qZ+IdSGq~fqdZu?MwZ#eV z0uC~s8*47#bL`mkDU)BkZl8aS*Jb4w;JaaAVKFi`K3#3o-a)79AW4^-8=Y>HS16j^ z9!fl2sF7Hq0Li3s&@(Wc07)k^JdNk|0U0AvBoL60p4o!p^5Y7dAtCR9TQyfmCK>74 z6mo4!S^gOt7trfX?OSs!h5T}Hb+w%f9$1*u=u0+s-)UMn1Y{YBe>sTFqL9Gqd{YuehcDv(sv>oZo2&K65Nlk_=c!%7-Q}*m@qZMFqzdj0vL( z^ew+lQ_f@(efgAx-~34>D+Ud^(_p+wr<`PFerSl6k+H+C!xx`aPEYToOuJ#MHysWa zGq(w6$<(_qW`z}P5>C~g=@BK`Alut*R>f}W6`=oxy}x9ZyNI-5@Hn4*qbBujZ_NAE zcBdO`>vdKxli+lR-yu>khHd@zva0{bjaP$xz$=L7!bihMl!rW8TiD|jJzGIAkMKnz zqOh-bO=sa7?%A{MUona~ZZQ%WuQ`1bPxn&mdit=h=1y=dOA;3g0)dl@hgm2Fy)${v zKGVd585tQ}?l1SJ*4OP`!aZX?_afKRP1NizsTVA_xXHKF(g7mW_NVJ5JWgA9Y$k)l z*)m`O1Ooqx7k<6JynJHD`1Tn6);YbsqPIXkbN27;plI6#YT~<~cz(1J6*@)iuk94< zsbP1%)&B3K{CxcL_#EkSH8l+g@VJgUmpCIMxgLHOWfIOT1wec)87LaeTuH#q1`4Y( z&)lK=@#warf{~JkA2om|WtER`JZW(cC!x=JC4&$!m<@^T{^HF&NXftdxIYiqaBgyH z+n|Dp;g4=g7RMEMU6Tg|5@Iz`#Cr(EC^!!JTqW3?XCvpJ%@G;0@SWoAk8v)x_z-=% ztfjZ!E#oBzMZPqeBo?y^6MM!gqK_M&@{iNb(&-S&cp~90OgLHAuMTEt+1XWrk3>l+ z=zXiukW=#2(+_@fx(E;01>ap3AEXoH#GwNB1rrxOqKN6ooQVQ zMJOvNCB<@q`4#U|C;Mz{wYywU!vFcL@bS`QiTjrMY|NN9A;L+L`bUFq9W&d{iqtnt zlWSvrVOc(^W{VM;sLXb=M@2Sr!Hk~7kkQ)|ZIzlBI6GGT^6knzo^ziGXw4C=;K0RV zSVXj*nnhJd1I^K@cduC*)BKYE-`o>#gn`T9=FIt7*)E3Dn9XH+=># z^id46y7B_!=9fGE1K+=p8kv~uZ9LI}^3l8~YLirYX5%`L zQcCr7dXTQDJkyyx)d$tVnBY``s6ZQUC3O_)ez1Tl`pm8b02ck$?B;0Wi6+;Br@h*Q zHQA*!E%+1*ef6Jn@9o$x!Rlw_sx{Z!|SEPY4Ms z^xB)#y|q7lM<)AzL9u&VwMk+utdRW5d_xx@G@tiHlTpVGKtl?tsbR(r9G$H3^FJ+& z_{ET;hT6a_{r>$sE)G3T008;{#P^^23RP&TH0UtH0Xa1Q6qVblxbJ2|V<{Cc-z&W! z2YRmR$fLgj2sbF$FwozH11y4=KN|&&wq?=}q7vj#$G)OOi zfw028`|Hkjo%F+X%6#=teZ{Q4ESPX{zU59LSMp?ftzS(cJp%En_L>%|NNXgeG9 zJvuw&E9L!A+;_~gmg+b@7`{5Y=wZoFBz?Nq?E{4kU0D8`;^2}4 z;G8XWWZA`WE#E_9D;G6SZ$BoTK8P}$G}suPj^tIlK}5dE3krX*3E%5*8i|I=_J#rD z7x@_(8BK@QS zN4?f3SYX~Rk3Q}7F}iE13J}g04Lzg=m}ZzIUaL@ z-N7BcPg=S{{0UcASM)>hNJ#S1(y1(_U_--oz#}7sN^gh z^n|whJk+0p%z;96(I;Q{@gG7fMFfkLCczJ7#0m6w}) zacOC3e%`)m*^;yG-!VU!)xmP6nLHH|^pW$%@~|u4|MSo^^;79yJa!8_-rA~2@b$@- z5%`^dF|AcX`;r|g@7eTD&W3W-HM5s_6`Gk(WWFBG_5^EI2$@v8l2)3_&3w#iLM`kH z*#Z9Z3}GaH`FY(rJ3h^8k0ZvMj{A~Wo^G_Q#yqY}%eOQ^Ut(n=G_WGpRdm@;_2ybZ zM`zg|0tzUVn7pp7ul(7$xp6=Yy3Jb~8$f-X2xK(^_HhV*aNgu<>;GYiFc#I>ndbW= zs~5PPJ#%{{`FYKX7ZN9Mlm%VkgDoIIDm3u}CMlIo92~Pidg1D7*$P1$a316NvUr@F z>e@?~TGwG7QI*G7wrNCyFQ34^W*gPl;?U!z5A=EhJycKkLuz=8b|+vgPNci1=>QJ= z9F8@*qwL}D*zWMSW_>o?rgww;Ct8u{U%NRe5&ak%9WwVi?rC}7b~5NE8y&GaNDF&r zHExYOB^A+Yo+h?;teq^2U$uWU9sN~TwCd!g`C{&`XyZ9{C8z6lCgtr-S9fukyu@&Xc2 z*)9L+xe8Q*J>zqK=OFSVlUNA!JnF(8!3-{UtR>r^)dR==p9p^V_#?^Yiln zfKA|jGh|nYs9gMHU-Re_0M26ovNXmr_zyTB3>IrG0JY#)reMWv=l5;vH$K{Rm-`XB zmpBOQks%@Dq`;Eb6L)8z-y0NswH=kENQKUmK5AAIp`l}LzUz9tsHv?f$aNv3@gY~y z;&|zy*u0@FIQ#cv7PK+t?rc;$5!;DLP4q&B^*@o}H}y2_)htKP>V8Lh0>9S})(Bza zv!_}6SnkH$==EyJWlK%_G#)&--@%_8#t~p#KhWZ6wpVA1z36%11=n7ET5{`rVsP5= zz)fJx`*hU6U89(;s@kbT7X-?M=YS5T3aIm0dAJ* zk^8$l2!DVI0@7lhA1}s$ni&C)gN}>K9pGrpN7L3X?odNb&bJ0!xSNZ2*|Oz76*5Ql zO)&zfFZN(8N{CGcQJ1Y)VE`t;fN4*MVJ_P8XnQ+8F>$R!UoaAdl9BOdwrt6KbMy@Y zT=CEE#5+b6bablNnhoL1G4u9xiW02T{i z8-QYGaef}3$GOl9i*$VIrmr=kV!DRXv*4=B<-Q#^Q@}?{A7HgOm+}(k5JV-Ov6F?t zSqe!qn2Af5X~MPEeev)%Y6+N`t|c03N2qOwdQq5pCwoBXxWW0TXW`_|Oa69P zo~rya^5nE+BRssgKHtJZ^zlb((^=bN^8hKfgJH&zI7O>on`d5rK5S4IkS-aFM0DWl z9HfUMnmGedhLtb>!y149ZYfWh6RzGv!cG|%;$y?!Y$t`2NPqF;K(NtGg&vpr;z!Ck z5@N+)f(W3QF|Nw;B^`?Brh6<;%YB*m5}AS!qYOknf`1+^#B0?6x0U?rz|z zL2-N<)%PbqHyQ7ecSY7eHs6m3#E%?cb^=>i94q0{#_-0a)@0d0meh9X2hkTlT8dze2x%zjwFlf@YEJ-3p+9oo^(y zGRc|>nGRm1=kZF?n~9FN+_slBN#NF zPXEs^|C!#9+MA9%Hq#Cy!;MS@(c(CABu-vJn5Em?@()VU@!rK$#bZ&udtjsv zA*;t=BBj$SSkoCYQ?XB1eYjUfkXHxC690R=Klcm;P`K1`-jjIF&ODcVt1dZELD!!< ziNoHT=Ji!~xz9CU^c5as7pV;H!c|vSFZ1*1wRyYn-qnkKAd&pAD?55-+$Yi-_=AO1 z(r1YtTOa7X=LeNPGLuOSny`HS7#$z~%Y>!n!A)M?&-EhHBvjOBsf&Y)i;JB6-=zft zi_AdP4?zB38-NjHso^w^5iHm4vauzF&L1!>NCpGqS44atz=lIb1^HT22;ow*p+ih2 z6!`;<$6*YD&8v$Q3&j8G1AGAb0OZG3$`}<$cF}_-@kXMT z%752o><80@>Q~19dz5cq20dx;jeyq`xT)H_Zpuponwsub+q?j%Mj@M`B9Pg={tbuK z3_yMv85t2vh$?;RfF?~=@Y{!RYGC*2m;vNp&>yI{EjD>pP~ z3(q~1Hl;gX4H~bdv-bfC)RF%W z&-fhT=lr}o0E6GWc>_F*W!VcPB43%3heuL5-A-3^jj@PWdy7Z^`iKZ+KTFigirXNq zYA?=szD*ZMzfEVpx9Fpi5=%b*5D&(I{|dyg0sV^DK@MQIS&*Tq`6`n157-<% z+t1y@1A$23aUw?oz%Ot8D=V2m*leE^fb`}>23_BWV@^)a2Ea86gGM2f#)SgP&dIs+ zZVwLb>-_SrMT;EehTBd~eW z2|W#>feyu&FCxHcn;m1Xl&41lEHhxov9dZu;uQUN5r)VcpknWr{KHw)Q)oJWdrinw z>qd#C%=7adja5Rzn)K%Sm$PzB27m|L4hgJ4bEEV61Ag*@ftrKyvTer%2UXaeB>%Sj zSeSSA!J{`u1nInIW0}`Wd|?s-tF5Oz@AcB~??Q6hU?_q{#LvMi3F2=W6vm=9YT;>CmX}7moDRAPrFT4W zAr;Ero$8q35bRl7PPop-{-$@jqH%TylH7s957;US^%~E9cS2o>5rN03WZe{5CChNUJ(Y1bY4-~tfhw-?_5P46bH*+*ACD^cf8F7R{$Xji~5BMYShgx(6*k)g-;M7g%R_BP6D^T~Lt zKh0(Vsy!OTVsKVBJdtQ<%j;CDwJ>0y7~V>d(R`}Y$L<9M!*w&_n@Vm5cS4h}Zn7cP zGOgWabp252(zSl8-vr-SlHEx=?Y8qW9(VO#N1OYN?WoK~B1b5i)gIF@hn2{1dVrGZ zMEg~g`7ktysTRpPp~-ix?63C_lGnU#?6A|{fV%n)eOpFjqoW%I!<&A_A%3*sZgyJw zNfJd-+@hh_N{;q1o6(Pfi)(&(czAHoa=Fm~R0*K^F@cApt{^z|avdpIS$Y~8B_#3F zm6mrPUteDU!L0%97c716tPH(MfQkUxoR*wRITA=$H!~&b?5>8kw&m^Lq2IoxAS3&8 z=iTZ`>{9r1sotjKby3Kv!h#m!&3{X!;ut`T4bPJ}#GZ@m<>9fty?t{!7iHWtFf`QW za(KPa=p@t~P|K+j=7FlXQ)IP|6YwEwXE@;LKj19IbNq?CJFWBHGLEwNqql=}xYtDs z;>z`gZZh5OIk#`HTqg58{J!ybA-m1Qh>lKcTR@G2dac(x5>K zgDNjgdy+zvKV~!gwhuR%vVi2W({#TqWY8cI&(PaaQy3srI0E11wP&eTTon#bDFCUV zZWZRa;LV?n>vVI_#`4$N`-)N?Y-^$v4I*6SjIdk8x4x3t7oYa!#O_kD1jPwwF(uP6 z%=ILh^=_VtN8<0V?|1H0?mMTXx7iqsN3#};snj=xK@*GNz>p(mJz4LAK90j{s(^?K zOJNHv?D;5o$;y&BYNZSI8S#BarZ0G>{{@a#zmzbP*)z&Qoega+)Enk_%V?UlldNYP z?1DFjW4Y_sb9_cLUf*%$E@1f;Z}Ut?F+yTA>YpG!P2mOMkqI<|TJebsf4&cJg$Mb| zP?Nt8=rpkB!v8wxFP@XCodgpk{P`o3QBOaxZ3GB2v$N0eVAe|){4E9{qWyaLFTC(S zz{;p(3r;gBVCYIsK|MD?``+jGKT4}f1n=bb_jKR6mZou+(o+>->Ux(BvXf6OOUH6o zg&mQ`s<-2Now%%bN1UkFOkan6IE)IVWflKr2FqeBA)wEE7DXpUo=WQ^FQ0^ZSbtuD zUj!D55sQ~kv8_cuRpxAfh(J-DaniiZWeI@&#umr=_Q4|kNB9*u7U>eFjoZL+>vu>} z@?nk|olfO%@Z&ZbWn{eS?RxNO@S8W;f2_LS&C#+acjUL}N#Xfu(h8}O76{R9ZiL`| zwz5}HU^No95RWA+;!zFf~d~8S31#^hD^DzWHAE^@iysqhdR$IA2$O2xN zAj#axDC?CT!K}ho!8!mI>e1&5Ia^by+!kdQ3J5MnHNZR-%YewpdJcn1aeuv2c>l_J z7K^9jUKO85m2c>lsL%jiY1B|4H6wiBTX^_|?WU|b)6QtBNWl~Y@x@HvJ<_WfgbVLL zS!`@mcWy5Uub8Emyu8x@*)*uSSYI;>-l|-^*;79Z2ucCxdAAeO(hjY3Z_W&oRTUHJ?n{~G!ca3!CXK%r^?ynf4IG(p9s%m zd^r`V7!$^_Bt{d@@)tErN=ix*Zv#-{*48~{HOVq&1PC@--gWlv1zC}trOoFOL{R67 z{|^BmTlx?Mpit(LkUd5JcOWRIJYxtwA&PZYj@1*Xh{x>A!+-gc7!D5Z-Me75GOdwC z=4M@9BD>RBAQgUgc6P=l@;|f||H`|D`U#5%bV$jj1{8CWVRN*%1tT7>4E%~i{PTG* zC2#vCn^ge&G-aDb;6N=>@|MS;ulilZbg%?=qujjHMV$hTw~x~pv^tcq&!7KHw9*rR zKzp-L>gn>d0IMv+-`3bdT}SA04nR#GZ|{1$wT$%iGT&vVjUJeYq(aLm;viu~%CPY8 zveMFN9w{}PlcrJ?V=UY}qI~gi%EN}&l|XsKng`F6tNav zJB#xgEsb1WUJb5pmj~lnBB>lU%gZ`8Hrk(XaCU%TUHwJ}-^a%s>bvC7p+!8Dk2NO^ z?sv#JmxqknHm$8qw7ScDTQ|yZi}v3Zy=yANStN{pGk;1VXxNV1rY&f(x)b>{3zcvr z-|Av`RJy`BC3oe@IHzLrGWvyfEqeXWNN9H^ob58@eZ})&q)_G1Y0!Vcwfg;BX+pTl z7W#h)By;~eAek;356+Wo^}HH<<@bQr#0=+`l#^_;Xf4*;%Y2sJ*ZPZ)&)xnQLn9|$ zYoAGJ><6_noU>zUp5lK7l1)H~Hmf#^G|GpT@ZLg2>*2cn}`8Z2~8qDuR7yIHp zw;`rI*`tMU&hY4&Vt9J=;O+9KvF)v3f>p80+=g_#U4P~Eg(D*N@*FiU&C!iIOZB53 zI{p34;1XAEnZ!~%+=*K<>)2GKH?`QNe<~~9UJou0e9g!rvQxniwwGA|Y0ku!Asj=c znwGD*mHepvJ=>0uJOX(C&OQE7ik@c4N-0ojM5tuN`@^?7Gn@5tP@ZzH8o4 z{gg?r990WY2JR=zO@@Yso4pZG{=iZN`7;O(3zJS`yg;7l3S+IWPeOMA$kGIq1L2tT zz_gRhoE%aRAiT)wGV{9JQ@k##f&+S9SC4Bye|`j#6R;3-Z85ljsT8m1J+fC1IkzY~ z_6iIepGN%t?U&JQM}(qYplI~)xO;lI+QfD^KX z+*%ohLPS{X{euhwaE-V%JgKE&3+q#t_ij+;B~hZ7W;7>ckWig}8l{`pFQ-O(0du1L zkEqn8#@6>R`9aqZoN+$--#^Q%a3y|IdB05t@>j~gAFCyQBlShgk1(+!syk4?=f2gg zIZzlxCAde2SD8RQcmk`+W&Ga)PypRbj>GZ+V#AyJeF^GHab8O1Z@Q$8-3Ed)oPc$Q zBu{}#h9Z23ojE`G%)J#Lqdna{E4i(C(#_26@UYpohWA-jGjuUPAnHL$Du?&S=F;y# z0cQQ#kVB?hqU*zFetu0}kvD#b8UcgFj`v@~N-K*7Ik((pTqU(wZ9XN+P3d#pr%VhT z|N8l`JESPEFSRS*r`H%yNsIQQxwfl~?fph#UyV(-_+3)je7rfqFbttlu$KZ@x4P{(?PUW%5T}M`jd;$i0bf zQ~q1F9Q7&^rsH0^&?HW)>uHXHbJWj(+U0L8KsOdYGDMsz#}?%pH9_0q&&YVz;JP>D zGuh!$s!jPODP9(ZXeIpuEFRlKRl9mv0?T{8?9w(BRMpQ(jV#70*7?M&u3HxvXfORr zDm(py{QQRC(xt%;$6Oi=DNI!_3YX2#?wQR^2%o+c7H14iBF6)xh-jFyB}S7D+$?ip zovZXL{%36G?QhRKii^40Ia-SxM!+d$xy9!EALxl-FbsNJqax6CSYrQm6X=L+c6VM~ z+nrs$W4%|j)jG@Ri*od6H6NSY9Z7`(t=E`C_>0n%ZMLP{QliJ-)FkB7m92hAjao&v zKo-gBlE)Ccx|{HAmEBX{Za9pW{3%~2;4l19b6-a7FTO6`&l%%XD^F=)+wr?389J<{ zjG2y3nj4-S&lhI5ReYXwL{M_Y!J;3rBs>%Ofs07)^TdVw96Qg>+aj4+?{0ZdrjTl3 z&6$c)g|F;$w7PApqIpVEc}fEM086=jvVL~3U9vRLK#mF{gvxE_7)iuS$U*@vkNlT3 zp-xEs89Rmy5EXtT?jIa9Vo6?kYAGlXY`K%K7X46VV~B^3pB57nFe&khQ$>l6vE#wy z0H;OYvbIJ*sE{X340{_0OWeX;W=z8yfZDE~xi5|^Otw^#Ed`937A$Jx3W1$%?fY1S76`SoORc6Ye>eB+V3JnI- z5s8c0Aac@kA=@DGRMKn%+Yfxp`0}A$u&r`-9jgrt;pvzU(7dC3-_==U`Y=k$8lP~G zP1(}ko62(jJt~2%9gSw7&+gdnBe%)}9>6T;S!r6p)BkDN@l;K89bV1ro>7-<3PT?n zKuxaJDAuXD`lcKV;r$E&8#Gy}yS57+T1!Aoq>;?hVaGnk-rD?zZBxQWC_y;`?$CYh z#ti0aSxnQ$sq**3w&m7Ml{9;olc_};y`ff&MtwsaQvypqxQwbfrbob%qz8oP0zptX z&NoC9ehjM7ADW6Kv#ntpRAWyPt631~Q*WEd)0r;QDt9vzy$$si@8W&vEkK~a&$_|w z-N83DYu}2vt)yx~Z13)Jwj7ABy-T!_}+S^NtYW(%y6r3fa`9V3pU&T8#hBEFVERP0vYI3l&XBY3@yhf{q zt%ieBaf#W(C`fOO5r@8Ai)(G_Pxp;#%HywV1h4X~0faP(t5%}fg;ke7ayxP z&t5Q3aqZG#>@)eVE{S4~hJLk||B%dZGrhSl!*SFiNXDa!dK2vD%*8X`|>El_04alzlMItdg^HZGE8qr876Ed&yb$Moq8c~|e`QZ-l`=e?oT{@$Omd1Uvf{um`jWU%6TUX~~ zV_rm6GIO{v1aJ@1#3?1Wc1e(s9BvS{qinsjaQ1W#G9E=uw|Mc|1335#CcbPF+RA6E z|4d7Q8U`_~asI_WhGc(L@k924&~S-ynM=_3c_Qv{xX1K3g33BdsQajqzJN}jdu1is zY{l;EoJ4$oPQQN9-QzGZ=L3xZNON_KQH%_PUAsrqaVt$@Ay`X(CrbPdWYKAprRe4K zmPvPqd_`m1R8L4z_aSz8sVcnuEZ1P~=^|ORoLoxGdaZda{CPME0zX~FYb&_A*!SO_ z?eQrctG43l$ShWDXP)kcGOH^h);3HUJvfZ9+v-}#{X=gD!z!6PGWbs_tV)xEt1{o8 z?7A}8`)0jg<~q}RbB9BUuO0*c$&toNb;s)YpbX3j>h~~r6%{~%qH@Rb_IDSquP=tvVSYm7R6Ah~k_@GPj z?DqU4=p`&G)PHQpO7L7nb3F~|^`W#7_Eg>XBXd5p~|C<@5SdyEVkz`;%lodaI09&tlQ5_O!PVg26JVJ^u4s|J9*U)-A0nP zm{a6p75_H4B_-|@y*r^RS^u}zgKOm{7 z;FYs)QS=c%4&I6>I4G`s_%B@Bnp5dSXi=m|mU(Ms>cYt?lo5YhXC>2!l(pOL{RO{{ zx(_9G2Lp|#YRf(uH6U$VLrsHj8%tWu2p|F};*Aip&0(1JeYv~3r@o9BPVKYtdM%NN zy!}O&QQFkTc52st6(YAYU0w7cR|(!)0ujOA=xCvU8;LIDk1WL{KDJ0=q3lPXhvuGVwKCXA2 zK>ODJc4i=v0%|4VJxFAUFs>u2mFmrpdh%9cSQ(4|uG&!PHmp-HqRp|CDgVeBWs&lD{CF!|WJ+$6;&#dL zbV%|SF6>L?{5Q}yl#1zL_pcVWQ^emZ?G_{AN8AnNl(K9VIN`ro6qa8WOKv!a9@U_BZp z!|7awoxA&d*BiL<9(@6a4GqnGBtdUMI;u+Q+qXc|kOkFqTD9?wZB*bl=$C^wK?({> zRuEsS+va*3-mgc<ev(!}u)Qy-J^_s7RIEPynsIBYcmu~B0${zdBlEv z-m{A%@!jOS9?ZYe-Cwz>IJ_yg>nsG4B0qfJ>*!N*W@Tlii3e0S-9{{%8yl@l?o&A5 znl5(6_nkrg!=-gEAEU7i7ROHuG03j!T~xk9XB8h?J)z%8JtUBy=*p??-@rk>>Q+Vl z3a5!KuI5PCS&Y~KRs$HNI)-IpYU<(c+3tCF;CgNY2xy~4M^n=_`yzt_1KD68VgUP3 zo(h%K%UfQhB8B2OSng1*(7n-Qv5&&Se1i~xBOR)Yg*3mO(=-N{hY9%^AW*{xOk8hH zGcul!b_54dLwo9jY=C??Fc=n0^p~`?xxv^n3Y>=ufXFH?US5E+~p?!(@-D#xC_yGpp2@f|kEYht2nIlblNZ zNBZ{jY)_7w5TtFkBg1IbFq8@Tzh%Sw1KZhW0GQ-g&sHh|1jF*MN|covrF(m?^E3fn z{q@O;cDjYRIVUiv1%S7Ss#CghHb8*|^k{LFSNQq)J08!6X3MmJ!Iz*UBMnFn5{A~%>$yT0@>yb|bWz<{8IprN6?AC4PFQrJsm%xkX<0h-an!vkuKae3^D%lbDzYOq_}xaJIL+^VnOg{tzc{K5=_{o12#hc#G0_ zTr7d^I%ph_0@=0S?VN890h;h6E{AM6CmK#P8d!r8F#`hwK))IsETK7CtXd-Q@^}Hv z#}fMTR=3d}0wg0Vd%D~NaIj9le|}!`d(p)T{=5eR`5EZV2NJ)B4XOt0V8BcjsGl+9 z(%&K?fH6z=J0q#EF5=omz+bg%KTQC?Qx#|o>$YINDLFL|ETg6QCjG}j$B(}CS?l)3 z%FxJcplSD4uyVNT+L&7^>WbU)GA6y&{KCRjYUQ{M_)@u5oj2mfDbR~;4A|E4eCmylK(1Pr=EKuM91?vRj< zp+mYwq=ry(kP?vYZlt@UTe^piVSw4|Z_n?p{Gxy%ld!P4xpP1SQZ!eJ9 zlvZ7Ea;fa<=9AkC3_ zBTtg^u9P*N*;T<@)W@yQ*c*IKuU@~l99s%VzHQKa6R}bFEWDC9ayke3vl#kzkkQ{k zjtNhc=>W0KV3eHX?r&k_=84|%tMu*4YA3SRv1hWn>}BLmVup&I|AzGRPdKBS&u7#> z_ROqw<>8hmh>qZy4Dzr|7w7h~eJnD6hc^@0N5@8f9bL);sDaZ<_ySur-05F4z zsi?rzwzAA>HbcOH_*#DOfVt2FlH|Mu)!?_lJ z+b^lwy|3B-Hp18rF-5m7Fq#bfCPr)l{1a}giXjn9NA~n`+ZIBsz2(( z(&7@JcAP%Ohr(dJ`Rmbx(d@^@yBRlNa7=7$tk>BVT3|r|$ok{~z;GuSQM)NaybBBFJ>>VsoE%0noDOl@_L(D1_RBu*q;vOu%o-8anwO?kQHI8!g_m+zIc zLy6h~>h>|qs^dM(wo@^>#?+K=tonvB!~?)^_q}{@py-vGn+q7*+I|OT@Dr&v2uT}L z7$_CB-W*5(tJL*gQJHqx2Yi5qg(W;Zyt}&_B#avK!Y*7X-n|7ki=fWcd?grYS`U5)<887(4$-?=_s-6h8>X?_nh!S<_pgL) zl*ya#`u0zB{GJI}>sV8VNw#MZ6D0O<*h7b*?@1o^Y2~Q?h##niXYlW%DO@rwH*&jA z!@ooFyqm7{n|;I7ZZ48a1U^I`tau&&r|z-rm%b!LV`y=t&$Ub}>S&or|BD*(=vn7{ z1g$~Keb3YL^UYxlgS*cAcP&kI7+4^2#kzewUU>XT{r_GEsLj#_FCT_j8p45E(DY+% zsp6k_eGi5~B=RR1yBSPoxJe!$$8Z>0`yvB-1EA-q=is+-9076%n{%j(nupk!3w3f- zrXM&~>v;&PWNFHu!tJcdUb!qiW(gce-Fq^|_jiSG!Bdw-8lERT#5;38$g&k-q3}le zS|-@asS_{MwZ>e&{V(m~UIwT`-Cu0r1L_+Z3ewIR2N6KdG+ryA2?SD*4v$Mqy8}}% z09A2Abg)9tfcv|dDU(0m40q<>^8{aUjRMWRjDWj~q_VQId6|ZfyXqm|t@qzLIhCiS zJpnwuy}{)_-p~1D2`pQVd{Dji5fcQw*R^gft|-XIkBV;3IKf5_$kZ)lXVnUmf1&ZdUyc+cG?^3R!?5O29KroD*k=Pkre|evA-prnKQ!5)K%X>}YOlWpQwFItG>Wk&%)3czC+()8Kde?(S+uR!Ryav-3MH`+^VT z??-Z;u=Vcl4viiREPDDhXmnd8g&zW*O*uI^5PMJyyBE*<;$UNgGd@;!b^}ns$w`1Q z{&>FD9$c96`&=GWro#9@2KK8eFhvU#UxTccGF1?-tlpp&gskU z6)d1L#qWdhB0YE3DN>h+2c%SBeJ95UeFL-6mV2AtK&;Jqj*JScT;ll7ddOMw7un{y zgnx{bGX(qwlC413fx&^1BY9X_wO5-Lu8dopwpPHcrdCO@s0;RZqqwc(@)Ig!)HcvM zu8rdM(ETmdoi5m=Wl!Q8=iP0k{((sCPS&%iSaf_yZ=;)k?rX}Ph+yIOY8yFt!Tyx4 zGR9?T=$yg z%=AC(DFXG+W!ABKApX}I9wBP@24Bf>16TP@cc0Q2Cwt>5!KAnq2__=YpESaLn$jxy zpN$`_AafHzdeVxBd*{_0@iIlAl@ng}xla4#`o#kT;f@l}9Ct~=^4oo5YP*lwNUz6!QwhC0*Z{%$j47gWOtl31+E$cl%a=^9{h@$v2NIg;BH zvQkkyoExt_0*D?wC3y&zpRXy6ro($v;-u*!-sf-+tKD5&)XlBLNWhIuq-{4(OU)oU zmyCgJ<3OaL$j1I3w@$<9KUs&d=S5W3Peei`Y@43yH;idlzfY3#tCID%IBR+IT}aJo zuN9KaS#RKAY@Dge403rvohW(`Xq4g7usEp0DJb|-OGgLvor;j*=C>NYMWwlKSvr zd50(4SpZp5ttAwVA>rqza)wp8rt2S&w`|TZ5iCs-WfI@spNQun-#Wm&d__C4n@CHr z=-Xx+`Vr3ca;&wB01xwPNo>i@w07T@Xk@~aP|pwS9-4@(ci-aWEkHF5Nbv5KmVT{H z{)nohD;W#`67$(Q$jZs7uCBv!(EDY)^V5&iI=dYkAaT)=G7{oUDf=uzPs^@bifsx6 z=0ehxnd16ifV(3ijqE&aEiKgPk*i*bfTy(+824OK-$gS%=fs+ASEUMlH`uZ; ze#mdor$aei1aY%p#>B+rAqQlB?`np!y;y38D+Q(CCBuzo1g7=fYq_(G4C@?UfUYVm zoSe~6sFYwwltfx%U3op%n^B>OOp%F9c6(K_8Maf~jk%fmtN4|0-IP~mtNL$43Gi`n zU=5y1UB8DW@CQ1mBNkaHd0Y8JQjw3q3|#S(uEzkk{d_g3n{tzTh>P1KH#Ar;Y09#0 zf>e*-3VCvasYIzqb+1hFEq^An}NTeVoBV&9NZgWDstC0Q-zgk|U#x|-2MaPFgr8Ogt zJ(`$2^^qPey^NLz;+3wcN%lp_Bxas>kmVb{(4&$y;Tj2bALi$X0^q1GYKGs?=LLn{ zsU=p*EXf%*jC(zV+!9KsXbb?JzcjeoJnEIzxu<3}>*&sCDy((nl$vBFK4?_hntaCE zdSo&qKzzukFZG^84>IwzCyLtR?#2zsFDSqvBs}s6FEN>D!C-9Kn}6k9WyQRuYVvvC zSy)!OUQQlUHP4-F&{>gC;L8Kx=Scn}CFyJVAK5v2W^w8(FPK=!h>3RcJnbmOED+h9 zm{oem?r_!2ZsCxW%!e?l}=mGNv4lQpDNDX znri(J8j&MT!bbrQ*zr2KYpqXOIUr0aDBTqDGsIcrlAIXnnhTr__4>M!2y3kFwtBl$ z#rA@IM))a{i`!+-*z>dJcel^Bx5Ib|%Az;wC7IcxH_a%xa^KmU_HsPrsN1FR6*J;Y z!uSQwd0t~C-LZjh?!A|tDLq-Xv#U6_Dh+9nr^H%vv}(_|b*_>^4WvLjszTb{PL+yk zp`Q^}s`P^pkFw*6Q4-UuSCK}U3KI2IJ67}iK)TSET~Vn%3wIfU*0*mPhLBU4cvrhM z@yn$S_IglWy<*7;z}Svu&IcY%46KW%^9mp{NcT9G{uwRJa6j44aIDqd3eY4knU;D9%PY&(1i)#TIhL`P1%vr_k&^QO=YD09J>b)EmvqTkdq+&otYbF5IyY2n5vj z0Yo%3iy&$}dZgdUcp#Q?CBhKgkjdTAU7wx(Y>}HM__Z5X56@F{_;EQ9ng!Emz2iu@ z&z00+n9{C=P|Z);yF`r`|0ypY12UX~VloIr+W}E&HhdZNygH{C5!n|G!%e;l?%Q>K z;Xi`nbZDC0omU=k0V3AZd(TP9d6|ws-Jinm*DC3Z^jf!*R$_YfGEg5?G}?taw<|Vc z0*b90HJqJbVdV}4Mcv2kqxKx7aq|1}wY@lsmPOR&I;DM3AT_K@+AV`*=?4&I0%5Qc zj5;MBA;>EmJO?Cd({;RKuB@(Bqb!gp^13_C$2jTPf&q1q`mCHZ;gOZWe3$JFGLOm|{!pFO%G_Vnv{o4;AeA{wxWV=KsQDTBM=DQ7 z*H9eOs>(T4)ZyS5cQXM7dw+RQKbgr71g7%W+TyW>Bx>%7z>={-ck^_xL;MFCBiANE zp_nao5<>3Nk;{rzvEL}qZdB3i&&V5a& zcrrXWcmdE)?Z`!?Ykp(T9`D87=E0BgtYX7$O%=1hiRT#wT?mpA(pD09g&}A6@Qa4d zZ;Y&$%}+~hvj2RaWRnOk8^7I*R$I!^nxYfe(qb#AER?Uy^=0%EEOhfY4Y6cd@?EQ! zLMCtOrJI};lTIuTi5aMK1kd{|lyXHg!IjK-Ff#7iKA%xmEeO~Abo=cN{Lj8%B~(y* z#`}LleXT}`d{q%6Z#(XN&e1fgKB6r$`X^` zIL>z0v21>F64|6_$VJ}J{{<5U#Jzu{teAtr6XAE=cXEept4NDcOH5={P;et3+_BxX z>wj66NR{5qGyB$d404BsU`ddNDU#8Nm$kG!G2`|WscINE-;@p4Ct-`BMcV8yM4}$; zb^}uvqo*cjC!;I(&&^D%rP;*XCobb>`{OX3F|wG%$@&CvWhfR`)#5Pf(c*eL$hlzr z-Oa5G48oqhR}HWQjdWF;a9KhBSQ?xA1n5@ErfGF;tHDW6^wPqzVU5#u1;ckPR;4WF zn7#zzTth_p^$6WWd?2=u#Zjx^^RTU33re^CUC3Y}XPO_&MH;U~#`1fm?%eCBD4aPh zb%>UGvw7ztVJXD$=|Qq72S)^PH;Ei7+1g&sx?vd0;iJV~Ld!Md!jHrfalSo!lGIka?7rZ5V?2As-B3xz z2syL8jb~hh_!r<7U9boue_q;RrInC=;#3-Jh41k`II{NbZ!C@lcIY%)6`eVoIUD(W z1m;dHKf{uON?_0_pc%I$Yzk-MJ;H!(3WK@IQmu@lH{BEqgW;7l59@!fQB z)zENr)6$z~1>PAp&;Zph=5X7kgzZ1&n%-e6XJ}nfBvw9S5%^URCGZ}tB2vSM8Xo?- z^0D|f86kDj%_(<)QTT=I;54qsh)x#T6Za>9&_KIQUi}O8JQ;tB3#;pMn9*U3@oR9+ z#j3TP^js(!i9qnc@PZ_MJq>GaZXP$2S+~2?hZH%{Ufj!ZG y2jLIaR)ccU7|e*UyMiAK^#1F=1ySVRV!^4=tNID)hrtaEASbOXRVr!x>E8g+tm(x7 literal 0 HcmV?d00001 diff --git a/screenshots/LCD_TB_SEND.png b/screenshots/LCD_TB_SEND.png new file mode 100644 index 0000000000000000000000000000000000000000..91fd164558761165f68f8e215df30c035ff1b83e GIT binary patch literal 40543 zcmcG$byS;Qw=S9*Ews3M8{FNkh2ZWE#ogUoC^Wc3(G+)gr?^v!yAxc3`wji=v(NYK zv(LEuk9!#-Bgy-|S!>NDb3SvHRN&vu%Tmax%^qc4KE7t&? zFYq@+dkIY^005=y>Gdq04uueY@wKz0tmx|%1WYV6lnfd$BK#7ug^Gr=h@Fj%iLEpI zD*zzkXky@OV*K9C!rA=2xTLItF{80H{}AwaR^4l%GY#VI_WaL{*jGs+Zx)2m%?p z#l$OCoLpp1LX;-6dNm?(Pd;mxuDd1ev>PHJ=N<`V0Ng?zVjNMFoXukDvenzj0-{?< z#QYi+%p#U%F%{ztzcu0Ex-_UEV` zC3*}1@U&(#Tot4(3!$l-?8i2iqg2F-Z_zh*z+eqBhY@nzCA~QP0~!Yb&u{1M=ub24 zmni+#G3L*y;zT1~P2BH%T$-7b4!!q&2@{4|O|hN{zf;YqVH5)$%uE0&_}rA6iOtm| zz5{`>N2wr)SbxGYwH5Cu*1**~T^H~D#DQ$OtrB9AHF*nrW9u4`iBIzbJu;-#_5LCL z4&B21o+J4ln^-{ZK~u%wuI1Sb_d*E#jP8;HA4A#cg0-%rLitLPM3n@QACezDA!<65 z1}G(3!mPkfj%5DyR*Aw3tTGY-P=eA86tGC2_2PBE*ZWufmfIdt4AW;#wgxoo+}IY| zka4V!D%ly?omkvow9ul1BT}__P{=Tw9-F-;I#( z9g%-xi6DFR`tK$PMt(W~Ut)0o^BllGJV_6~*!x1=8vfR}`d0g&C-(70K<@&$0bgu7 zyAYlp`Pl#V@00)C&;PDJ{O;e=0RSk#o;1{dH2vS-V`u*~lx?BCrbdQ#Y)&-OM11|! zNo3>3B1T=Z0p82!B+twm`23%miOkq=NoY!>4Ml(B{?dez6&WH1ze*zCzqd_2wp0D) zCHu>=tyXwby)wyaV*$oh!HX~9yU~uKOfJvGIqp5$aUa&!^6@DuhzS0>m9h9{xMG2; z8T2>hjcj=j1?!r|X|ns2@O!skLgV1a`#(pnP5JQ+;Ab#qEm74;-k8;QvBw;s!(IV| z@$kT!#mW2Y?;j6iDWQxjbcrAkhgguq8S>F-wvg^U-;PT9SCJ^oaMfHcSfMLFR4&U9 za;i);duC(U8vMpXby;K8UG?qv6;U%erZ zd?>S|IL3pW1m#7vaM+2~eg(rjeAIwn?o6`l5rPssGA|2vsA0B|xOn?z?DwO>SLU&iei1{pbVd|f}UCOAA>W{~7!Ki7zds-Dxw0Lv^R;@kJGnQ){Y zZigZN>fYgd z#N1%}JT_9r70)GSi?=LAZ^_}(JC&!>JHi@}*s?)a*{h%$9@fRw$dOpeiXNT8EqZ`; z$S7QFISkxT9e&9G@#899+5JsAE{&zx4-te0HaA8-O`(ZePHrJR2<5<#(%2_jrAz8A zQ`<>ZT5m)nuF~qdovO)Y_u6s+yHhuuy0qnQ$cJsIT|v;awLo~n~S>)Tm2-bMf94)DG#@7wp;AxHp&S5G}a zxiLmU^b=8FjI?+TkIHf>hjdLI(E1h7xV`}~ifH&iWL<?~7kr$~@5S%~JfEiqb5U@K21Q0#qv@y@*Vx=as z6s#TQdpcQ*;a&5LB(C~2^T?0CsM>xYoBA=oYd=<53lVu%yX}##NyWotIXTAy$4=Zg z#~@%~&?Gr^Q4+V5XwgALyVgZ}tviKF{y+=TZN)GS2@ChVw5u~1ywvA@7~gxi;I>xc zV9g%p2ubFH1%s&^O{A3LDZ2_2G)@pbR0lu5wo);(y`i8eV?Ww{RLee{YdDTlKaYq< z^H7CG1wpGGxp+5EjgTV1^m95{`MJT!?;@iSMw4a~?Ki*U529de+qz+#{NUxX8{5)v z$*nDKyc>F}KA0D&G10IC{c~DPKT>Kh&+lp}-M~@!ezlmsXVl`|& zrc2YGBvn~fFsp6TeQrfSPBQVyX?J+aFvtLJ+E-Fj@?Kk@;xMK!YM%mZMRR zoUC_M!K-ph{r;5v2Q5e6C&I<0Z%`~uM+DH0xYv}KJ`HPfO+e+el0#97^(sAY`>h$- z59>BNY)F~z9*(i4%@>aJMf;*$6rd7cq$TRif~xH=d>h^Js#|cY{MAds2AUF-f6~f% zdTrv%b~pG_*$~cFB~{PH>iU!_)tM@d<}c|ok@Ck%gE~wFfPA$iTq~VFRpMip?bw!t z@+z8`@v$|3K^C#`-yWnj)=$S=SG{0L@*Wv>f6PusE7CGFaV(w-Xl~?Ca&?-n=FrU> z{o%;^-NHcgW~$UX7XL}t?|kbkn&UoY4kZH$J$_7+)BfR?6)~?vSk+3*VJHy!xsChBGuWI56}vak~zU?i_F)6=3as_~G->^#@6eXx!h)T+C5SS&oXcxgK@ z(zs9|&2{U$URO2)xO|6&jxHS7EL@C6ryZ%PYvwEV$M(-aLlO(At&g4J@fZs-uG_>;vNc$E)^4~*6Z(NUSzeowsW-E+=MGoofKPl$#a$1k588w(&2`B*n_7-GHmRglI=Yq06jN- zO!qVW@?Fa*D~CbT-Rw>MT_fyZ(iR@KGSl{jobfzo{w6M#wi`?SXHIS@>)gP#A^MXP zN;!;gB$oX=qYs$8-WN-=M?%-lCSA>%e>}|=OGe#_mpagmN~x`)UEuw+7gdrFxD z=jgOCLieknjIrWY2NHGufMmx%_x(SpbQmZKZoV=tB>&JaD_-Zjk$9CoIvZ_&w^G(- zx;L2kY3d2POxo)%DF^#)#cw@EyVw5K(35|||9a@!QY%tzL>LI{Rbvt1^Fo68-QRup zO9S$QKy!42wW$J^qsxI}K%=o}_sdLRAVL)IHVLPzeX6W>200&A}8IcFnP|oBDb0`K*K<{ zIMn6fvo72vQ7~&U%G1Y`laSb6ZWFq&6ObdhNJZ%T+azxVhBKlUY^cBwjm*6(>S@7_ z``*-cmGtzwxp$>*9{%;R`B|@S2>2~s{UTp@b)> zOSiu!KWh(ih>sv}J^f*xcU1I&1kvH6-Ul^s{BUoG+2r_Inoxj+>~2Wytof&5z~}k% z();k&Xg#94)BiHMqJ-x7()%JdOIuU0>}E`u~qOFE0Y+a0O703=*tr0koVj)12YLZ4blnh6A7dADlNyG9SRw6x6C+F%mQ zvGfU%1A&>!d2$DHuWqiYiw)jLVql$0-d*#nj8jxM{u7x z=dn9~#mpy0HwT>hO(--HpN+EKr(A^+8|hW6uV80TTRF5&KvkU$i$u{gJj5A_iSD2Z zJ=|sTxx3@Lu9#OxwPFNq!e{35-*#XB)9z7RGtP@nW?-}gWo)p9_}7oyOGC0HYDFrA zidj9>SV%#=PA)DbYB0SAdQ;KaA!5F^TjP=J55Gp_zDBq3XOpxvy6pTZN&G&s9*l#F zBOtUhQMK&L!LfkPB~i<^iA$qQDS9nVLE}(RDW-FTvDIV1$YkjM*RBvo#B(qK)QU&^ zPTB-0!jz@C9{uS9Q;Mt<6%`AlIIL&h0B_7sVy;i2lH?JSqxo~y=gK!_ZQ~A~CB;W6 zKp^KW*8t9DUh@|rsJB^9+wv100ms5{ez1@l{$@8B?CIFsU)G!(T|Tnj_AO!OJk zvM*pi7quYM-vp-t}Ht!j-0A+LGDF_2*^d>`lDR03D29&#r?*A z%-Jn#=04F!L`K$?7b}o^x?RwVi;Dvr(|w4~Up#3weyJ%Y4`hS@rGkO}encdck=BGX zN1$lgG#il<+tJpAeqC=^=%?RL+I~f`5;pA36TwChP8&~4MI}y;bGb85MMiddB}8@R z*&;6u8OsvS%gOn${nYa3P70>MN-g+$Om1y$$I8#8SL zNo-Pv?S>`85IUKQlZ^1-Aov?$p%M8f%qdEJ#ck&hx_&Et3n-W$-pdy;9herklW39^ zGad*S4FWniI%1sWFfuZZjL0b!sL7YYRhmb$UzE zwCHzId+AS%h7Ir7yqcoy3l)8t+pFhaqnI3BT`!K0k55i4R>y$v+m}a2N9X6Y855_C zL}2adqbNJr)a#gJFcdFd-kY7i+R~2Q(HNt7nle-vmZ8v`Z8zaD?CsFyQ88aa+4v^u zt?3l1%-IZJ;%Od#oBvPsk=lwUvS#`9?|z^4JR5Fbi|O%C0fJZCukymOrg@8b>s=ST znra1ecwH0loA)X7(WBdo{#02ZxOw@0D{DUABW3VldqdVzE4i_xTF9Mh_g-=vCP4Z+ zfr2jE;1JmLWI73~`sr2>-TBiMsN zs_t-Vo87$~u#M9|Jt5rJBz8TM!-zS@dIvR^-N-|?Cnu%hSOuWEj%{!1>t@9TJ3+)Z&4i`nZE)M`csVq z17A_e=iZUDxm|%*C)KOXPtTM0no!JiRB8OR!J%As!J$lRU{1eIr$g8u?XpO%*2mBU zv#m3{3#Tf8!^u>LS+LHHA?_Tf(TPR46%*6RlA!>4aEoV?r5rXP`cw3)oYE=+Mlg&( z5=n)_*SEeD%f;#8@Zi>nrxDn1&*80Ls({T_oLn2+4@njCyQ~@x?D5~AKZ=}| zL3Qd$i?xYkJXlu@briQ7R-k38jTpE-?l!?yJb@45i;Jd{p-2VqWB21l9J3t5;@#Uy zEZfpjQBlzx+R1VQGVpd*xAfL1gesa_O*eefm`HkuWcx~9Y-i@o&kzL+<47iM+-%=diRNQtOR($_1ZeLExzwm*9p+p(_n~=-V zbTqxjuzLWXEe}0<>GQ|m&#ZSvg40^dK({_t5-OFEL^I&mPnAMP zM@N7EfT}W+yi|#?z4Ldy3qwr}_tOU~>xSig@S*=r_HxON$*g&$I37YWA1JPmf#IZg?F_JX>e z!EFnHa&fWte^Ig}^PuAY12f9u0|2#!uDo|lyciLlw;xv5=E>FaNf_vN}xAB7MZ8xR1$KV~)Ki3k(gRNIs; zexxXq@rE*u8&+J&X=_24`Vmuv9{V%sb6Dv-CXX)^$Nd`JJ(a(ONo;eRwdntmvk9}e z`u9*Fu!qHXtF>*-vx%7~_&H^%*O4R@LLLQNi4kme*Q0Og0M)?Qv&TAmR(gr*?M2l! z&K}nLaoPuTScHUHOER)8QK{bbLGi@NmpDi1SPuo$*^t^YcOxT6O=6zUN09NrGF-i# zO$)t`ehSr&v8|JJWA!5Hi0U)l@u+sLHNOP>j>>9w@8a4+ zhfj9DUSgep6361Tc&YUcR64j%7>CU4w}X~I(YPl2qZhO(Sz6EMV#=mJiMFwEXZ%bu zt4k)Q0A+KWWP-{lYuRV-TygNyZwxk+N;0Nzg6~xOcsWNn4msAt`)zSqN=%s=2e%d0 zPfK)ev3^qk-yoA3WrJ43!N_7&889K))Ulx?nPLOWvkCl8)2#$k=$`F_!^ce)P?yAA zI`sl<`K@JWLiPjeGxX7hdo~6lFcj-ft7rq;4&)Z;%{C}BBr5?*@c(9#*GQkjq1wuk zgGUB8mB@kvp`3H(S+blwiPOnh&0>SP6lHTd18A~@JWgs0+FTRULXfNB_EAsO)+o)K zfP#mc*~+@2vbBkb0u z=N8Hi0;SS!j<>AUOuG(^uY?(==-g16Qi%2kgJa@|Xks((Zi$sXFapBu{*j;RJ<5~( zSB56%F?AYgLc8O7VkN;GPI29xnrt#^dhvmi}PMeiTN z`>y66ukN4>HFt7VtQ5Y#Ak)kRztjp)&YilaQJz_w#o61fbG368FfkXIm5%GXA~XO4+GzS2n_zUUkITe2}*9Nu&e32^S&C ze}?$Ou$x1sU%UI2OUOv3)iwI#+G|k|xtDxaWqul-8=Z~bAB)WjVtFEWj+NT{fGO8+ZD{7W0cm_0_ylYzNS8T?h>AHIt`uFT=)w-_TKyxHR1>e}^+07JK9G zUsqXKL_p+cZ`{wbaH|}9&VRkBnQW+*Qla0d4@_N)Z)FUx$K2&spY<;d){JD{l82i#8VP9R^=m51SjD9<1Nr8fsz*dpXQK33rw;gFadiKU4c1q2+s;BB?+PhOA?aHM!Vj#4l z=dGV{T}XaoNPD)+{D+-}T0c&y($dnLoE%VGVq&jD z9m-c#&qAhgdjZadGKZHh$u&Aj6G_%tT_L)vgG1qjbqjW@MO;pvY^d2{#|_T36jGFx)v+_TueTyCvyy3>8mo$h3fs|)B}utZmi!v3EdJ74 zFVR)XT9Kvk@m&Q7A)!iRrjgb$*lUQ&XcXF_erD$!h8hXo7w~YA(R$dgh5+xnYudhR z;jVM}JtT=}9??`$mU@0t+o?n^rmayh`QT_Dn)LGyC^?7`Jbxi0c%cGrl6JOY)a}NA z=kVkYCOUM#J<>h1URv$^-sG|;20EClnQw41^Dv7~-yLMvK?QvDiFg<3m_(@#LlOmM zC}2(TMC}TUW(;*DBxwXLDP^-I?#g%u1agbDuk*w-B!#}vPJp=F^mUUV&OYXX`+jhS2J>R|E=u z9ZKP{>jI-I=gI_!h3QlpOa3n*BEt`?=4Z!HI~Nyyu8vnh?A9~P4LT1qUdg|IWf%OH z^Zi$i6?%5-WGJZUonIW>e+$UUZr)8}{*W7ClR!WZ4^~u|R&^V)pK8brLHUj~)LF_l z2Ck=`wfgGWa1hAa+UgA)X*cm>>+S_*+hRhPZQc3kvc6@JUgq%L9wLsFrg&F;Oj~|gvsVzI zLwY6p^ZTT%mRr-j*ibVhx}c{zI;sBt&qpcl)%Jqk&5=p% zjsky(xw<-y(CPcL3IZq`II$`3m76b(;ddt`GPTy;3LRL49bv^RKJD)eWc-!5`Q zphReuOnqjyUTh2p$HYGFE;c19yf1DPv}*KTu@@ih+$2o-^Ei=~7lVo5);g(!ov1X= zr#w;rW3}gMo7C!xzaI^KhmqVBrMYO?{<=(09s_-B^0cZaz08B7oOiOuw=}fyoU=oY zh|dx~K10fnv$6y|8T5{3Qe?A@dwx4YOpgQhl8hV=HZWIFNYP}OJo6soNXWiE{hSf* zrSli4Y^<%V?C!3tt-X&$3=~e6*icZ=$R9Ju3W*^RXv7?gT?7XAS=aJU@Dt=RzZ#)*3k-1-zJj9xU5Tv5SpAZmd!7laDviRsk+YEyld!<-bMe{I} z4F%J>gyW1WlRvyBrE0Z(V>i90A;DITN7{dl^_6O7KSdND2tsUYes-}fmo zV{E)wT(e3MG}K_jKu9L(ks4&@M7+AS=p+}x{NCJHrt#rdlzJq+noLd1WoyXdu0{eq zj$Bz?TmnH$vv8@&y$z=}{2bs1<*5}pIodk!ux_vX+KZ%lmpUth3afh-!KVGjPvvRI z<%-YgrkXbCaYjBfCngYFdH0m5G6k;v2`9WZt?HlcZ!zi{7Znxz$44g7?!P_ej$lio zr;N=h9{Q1}?pyPMCOQu67|f{Gk;eHKH7c%ufX9KJIAbKHahD?BPn(=HebMyW&@imQ#2-Hw4T3w4LH0VGJbuGyzN!!s|;A61bCQq?@NJPKa>$r zs?`t;5O^EDfQ%*%ZqB>{9GhR=+(Zy?(DL&)ACdqYp{!~<&$K_p_8S@*jsN*WAc;ET zV5usk#y?<^eEgc>(4cozsngpIr)N9()Xi^d$yMj zL=Lj+=^E=j;d^i>xaqX^;_0@A37_mIVPWA-87rj%)q4%aOV-T_&#Qx(eutfOk8Z`` zg7f3Y0OFPmK~E8%ji8&~=ant$QgwPN*4)|?hv(rh^gZfK1{2`WHh^;2=JKYe%`P9a zH2z>jA)^@{exUXs>|1K_@;cl81bTt+j@#`}hgbj+5%E%IYt>5uHusD9x6ipsoC9hD zaa{97npO=louziJV=;*-pR1#e=kJ(lBuv6;Q#q@xGgCRcEq2OUkR1&*+XX3_gDMdQ z8rsUyk)J9a`(sVsJ5xC$L+qP5JMJN;U7{-YQzppwev)mM`ZJy=r*mj`ECgncr01;I zyJ*T77I{&8CWtS-4E{(&*)G&?KC3O})S3{JPKst=tCVV?(6iE(FGU=@hI%!?4!>O6+Z`d`s(*o(($|(q(bmt9aT@H|YYnAm#H)x7aG0DV1 z2^|~tn4&nqo*HdyXvuP}g`LpwrLQZTsI~kUuj}n`WcFICH{$I-NKg0Qke=WLNfQCb zGjnjWWsKj){LzX`7<_yYEyRh3Y&C@m&89nGz6 zdrGHq00atJ!>UoPZ+i2c2yp8F$3nJ?jp&L^t_QA%3)eGV`>%su{rn0Gu?YF!d&G;6 zana0DmBAwr7_C5!v_~Xyo({Dav1!fVG@}hq3_<1!siw#go9f_3y0T4e4S=NZTrPJ# zmRz}XH@ErS^(3+Jo)q~cV^$M2ZGKLOm{cT4SMt#K&6jD|?sjoB2>}Qc*)khNePAAb?~2KS69EQu z6v%|VAQiy{xEe1tdyt8R{a`hbGB)0bp^)C)e)1Vlj|D!9EG#a5MYY|H+0w zf$+6^jUIF0dW%yobMW=U<=K}!FE;`m4Gnvk@xa{7cJLsVx~@J3GiA2>;)e1osnXAn zr9?isKp^W9f4w?B`m8oNHa?>{5yZ81FMjHt9vyx8boP-jt&&^Ip{NTQ2FG|ZDOOk< zZX1|M9zgY6c2$dx$1uRf`anG0dT(Tzyu7e*M@Qh>Be#;Jx1q9ONj_#z}oGgN(jqdbbGsWu-dJ6`wlpg?zA5 zc^jp)PkhJ-4Eo^sPS@97WU6n;idA;4b{Iz`OpML@weP{G+Dg9{L-J9x>oK;7sLjz_ zDZ`_s=f#K>Bg-ebZGH(+0^;V zq)5tR$nO)=Mv_`{@LvH5b*KF$f1Ekqm z70D9*RE&EYn(0PaysR1yKBY$r)Kg#_vhPAaB5S57!tqUruxhO z$f(-s;})a4K4<@=im-$?wEe2c6!$~ZPlE~9l|t|C5V-59b9FfpA6C6UI8Czh2N^_; z6MBDlhk#7LZPattaMW^X*71v&&$qARY0E-qYcqvUlni>mZ?0gTIvoBA|cdi$* zi5JANJngxaxp9O;I(LTtC^-GXoM8W)j{>oNiXQgNBXD0>Z`P>TQnI8ls~MBBYeBt5 z`_*72oGDSD0#7)+th0moFz{`HLiEbaxVKD~h7a0oBZGr2%H8^thH<70YZs14#koUg zJG6!ckPUbg%eGv+AfZ%W9BLaDm*+qh86Yl%Yyj$NxhFe8Pj_{S~p=N0=x_i z$e-XP9q@#!8cdKs!KCIX@jE;`EGx;QdR>4?C3k4fTX+AoaleQKd`J8H!3Xm_9GKao zUX_XnPyp2~N$I><-ahfUKW6<#{g4lN1)lWjp+)}+n5XebJoKCINPgv=(Ql~3Y;NNL z9r!k@l{MF)S>024x0aGZxk@|m)ad6bilb(;sW`5!LlXV5faBOVs4<;7}2M1Tz z7^xh1CLp?1D0FQ*;w9u5jjHv$md5h>&jQZ@g#?L}0`V?%fEkugPGR_jQ)?AqNbVgl zPxbd`Z?1u6W@f0UsHCJ291@1-&+p*dzqzGl)6t`aiwbrBdOu3`s*G{*06#w9F~-nmDVujksrV7-6yyoknFqaWj-y z;n)s{)UzMp_AKcv3@GZTVK-mQo&I5+qdBuX3E_$~A2H+b*f00EZ+K3u?cxBrT56{nuN_62bn!ZajzQ(hGIA>AplU!UAG&U_FyVw?15?$Zi~@vkirs>^Ul z?dnyIuKe}ly>&eD%|O8Sh~xM=-}@{II|Ru?&oup3?u$;o`5h{8*QcqQ(fyw%n036b zpdjcMPGV&!vX6<`>5rs?oXS$VsW1oU1Ky1W=B*eC=P%M*3E=~B@?5ym^T?;Nr(wi9 zR2UwvTR!-1a>_0*6OTCfZTGfQ<+DWQKn$wviHKlu*Wsr`Tv7SCamTx%3nj(DUoVi< zTYmG@pSE9)xI#FZTDbj|ZtpfKe1A28W{ZQ zkELnrJt7t~bU(*tnDcJoG`n0qlQF$THvO9Qksc8Z*KQF5SHBD0<{=17RPWPV|0$z4 zt+G|Gf!A=ph!|DxY^kxSd=8CCa$e3m)4Fp$7@vavo|`P5+wlYI#>0u5CqmT$Le<9( zuK#L|aiT<1%BFReUAWf^S;?TV$-{VvOMykeCZ0nTZP|E^UAJqL_S3El|HdKi5pMb- zvKKRyc*7Cb?5nn~@52ukBmeo=(k4n73M+e1HtVamUcU3n)0EtB7W%&?!9T9GQ{C4b z`0=&fABIvr4vZ{aUJtKAWbE#5MOBewosk2@CVeYwztX}yCZY!EO>hSHGu)$V>oUECXj;amcpOx8t0Oc2b`GCQ>hrjA`KmH>!RPWZ~a?8ZYtc4}JAxa|U z`o02VIKeMOqn7!)YCPg*xisI(6Fq1U(p^lQ(qII2gA66Hlh4f3Fjppu=Y(HwuX#U& z9d5b^dADpFpr`eB*i8x0|MW2)HC2jiZE19eVNuD!_rKRdM`BtNPw zGsQ;FcD5H%s)YufVsT8&eh|4#!P$`{x z3Usn?o!Z(yx_AxM=CAr5H^A8L<{143@fRNEcGXb8f*DB%U;^Ly%RN2gvOXY^I zy4>GNAOHyqiSW}&09?p^d9r@(>tBofC&Yoz&p%ce{;gs9NcOczaC!VWXy?HwUgI2} zF0NVnvdzX|_<8GZV-`ld>e^-}lMd?=MVnQ6EhA0elVRCdjPk$rRVx+c!u@w6*rACR=3wM<)I+I||T5?a{zTQ8toKV+VIN zlX>7QCF_asBxCeoNNva*;=p?cC*1*~xp{bk zua$6dam~!A;5Z2<6x}($>%&z`NvPI6E9cBGgZsYgWB2^hTi1_Iv7Pq9VvlZwf8$xw z$HN(o7H=bQo;^dVnk}B(ov?KA_4U2yq(b$sG>4_%H(7aJbeQv?3wd{riJf=vbeGvN1a5A+IM@*iY!<| zcE5hLb<46BvAGu<;lsA~T~a6l`RXcwT|oFr6{r~vEB+7Zn`(27;C|D(7PBl z=lkDl_EbSH_5O`BMXMtnFlFNz-mjJ%SfY3DaSGn@R*UULNtuSw{Z=h-dqpxj6fVt8 zBE-5?m0c8a_qL5;d#{J8hvqJgW1P=wgo1e33j22c*W_s6quIaK5(xwO8G)CjO5Lm8 zFC>K%kFL4P=`}wfJM})mrT8MI4TliV;Y1@Wq#UJ!*nno)6i(eH*K^nzZylAgZZ6L8 ztJPJ5PoF-)nU=Vu4?JT$KV^F-JVf+oXZcM{y$Xu=LjIL*MZ6NzV3JiiK0e(2$FEXy7M?nTbjGPLeD|u7rO_A zJgQ+nPsl^f1io>yX4}_Kx(r`8EOcwGBtRfSrb9ngE`BK`sZe8G-CVyTeCSADzL=;% zjGzFnv8!VaYIUQ)&@s@-qPUMG{cLWRN9@{e*ZSKkt1f+fovx_HwI6*IRc{Y2@jgU? z@ibG?MVEaXGnl=^6MDZr8yfhPPBd$;U8E$(o zy}GrlrJ|xzs02@X`-26wnvLPEAV#p!Sxq$!Q{i2b6Ka1_EgMn zPCZ4msVn6A$W#@%uj{Z|7GCfwQA(L98)pM*W@eb`WDF%>CUQ2&o46mZMVoicOfm$W5Xrb%t z>mq!CNTQi;W}_HLfgopr+eQvQ$gYCWbd!~Kdi8-anLsv)0NL{g$@)U0iq2;w5iP!+ zGUdy4rsqxwAJpJX?%fKlk8$6q7tD$aO>jpW+ral{3F;B^<_ z_B8bWiwp1;fLe5Sg<(=v=J!ldXutc$hcn-?wA+X{63!p~hlr-iE3cWcq1*Uq^VSOI zIFK^b;nz>?x=4*AW^F4KZSK%1jRbvfr}6BUsoZcroobsD6cyoMZvKB0+1%pXme{NY z2%X#_%eGZ^q;Q0qGMWV(MNw1X`_9^{7&l8CFI)u9G}9*9Y#C10*I%cVP5zxcfo)SY z=70L?OUp0NOfKg@C`x@MjPR@ z1)LQgmk7<~>%`GcWA1~Qv=D7EI*i-K_e7kp>SQe~vzk>b_Fo>%&3)s3uLf2%3Q>I# z-;6WjowqT$cX*bvM}$&I9bvnCgpNU7a!^xlVzwUZ)5*ts;xJdi_n)y}5Iun4lA5U} z>bDr7O^Owl!jp4kMbd-4v{zTY<#iVx$FXSi>??mcv`WPD)qn!d3jll9zdw(;d_7pL zLEk!_Fm);I`0q~K8;=oP%Ce=z1pT;+aTPy@gU!7=@hNVU~7+a7gGyumuM!rN8jH38#mb5@dt=rZ%*DX`a0L93plqp-(Oe816 zp70%4IZVf?QMJKQmCN_o7te6-O@pr4XSnf>SFXs+g*SA|@5oyn&Rn+PI|;5twxcqQ zD?eKgQ-P*?35QI}3ab9xK)N^@7;`JwY^JltdC$1iNWs_&CY!rMj+WN{S09Wl7ha3R zfA=)QyW>6Q%1>0f3OzX243jX-RAkdHnL8_qElHzuV!MDXXn2TWcw~Ap-^xd16+LG< zU&8Hgs#t1drzObM-T+M0+_@;)HQ~+0Gd$TO+mlIC zk@||9PQ4>Xf=O%^63jwAqWfwPj<%zvdfM9WT1<^FUkY_H6;~c zd?L-bLi>MQ#wY~jBmj}V?6|6Sm_(c1MvIaEsW>tnZE|zfjnvGtL`x;>(zB>5^Hk4V-mhvNG}y6+MO7zK9oQNG(lFy!~Ls2M#s{ z5%tE)uWp{W<1%LdM==E|g`Fd8RjaJ+?>|O3eq)gQ1U~>XJkOroipwv9%+tz1L%1{1 zarx)jQ{5WB?>(CTjpi4PMZaPU^ta{FF>e1>B4)dYZuoz=6KGaXjh0Ww{9n}KKfy8* zTq|Fn@FMmANh>Aw>S3|B|M(cLiZDkvDZMmB<+0iyGmUENO|Fn;@A-5Wbh(-qvAhwM zP_9Rq$B%cngNOD1eH;y5(Brw7=IfNUtY7or>bZ)x{Rp^8R1b=V*X5u;O$FRMHyZ|g zp(?I`Fg2?i3@0BsDB@13j25*r1CL65nywWOuVeGruqgLG{}pYE`d>M^%N@zlFT1@l z`~>Ay&yI5ONI#4=3~o%`{(*)5@lD=k`hS>v3#h8Pc5QeQ(xp-og3{gHDBa!N-JODf zbc29&cZ0OFba!`ybhH13KF@pJIAeV0{A2vF#vTrF3!AmpoO50G756MF9lgS-(ml_N zz3-7(uzlE1N`GDdPgw}(XLtfq^@ytbBEtj>lG<9QQxfCpAd%x|03^qR4 zHDJpAplyfE?o-h`HCyhD-D;z`lHs{xV*FS$-?UvjczZt$zG_dA=q!6Mxv-#nv~jR( z+kwq{KxcbYO?%n&yT$|j+R1a`ui@>DB=~g1KVnv4bNEM0?5m{?XWg!FtxTz(iT^8o zGu5z@7PamaD}zDa;P=>Mf1zc5--2n?s_o!4vldp|pF8Fc-`zL+bm>DY zPMV5wr<09vCvv&eooF(yaBw*6&wRw6w+LMBCQ3B5!JZir94UW%qi7?OQcNC za^UZiI7?sM*-1=H+}Yk{H5vY9wNTg4*m$)&M#RkQ2xz0YY}U=Lr|ZM1Tsq~#HpGNt zskuReGGUhFbYgc^ncVtTE{EnPH23SQQ2bXz7l$c(wH@M5*{>#ZF4OaXuV8$B_Vu|E zEO%HyM}7VHZ;u z0G!-?N=gd2UVV?T^3$X^b^`fFWTRZoO9YF|WT%vh?Rtd;C|6^G2(3mI+B_nWdUIJ- z?(I;N6#YdC{o7?Cz8i=L4irgD=igx>L27)*%;Xr;z9L^T%dQM(m zPI~jx3~z;@o9#%RZ)$V>Vv~yuWjv&BdHIP=+anAJ5X@XomS6aGbO>T$CC-$Y={`N& z0!O;{of#mA03w&HEE?z=k4If&W3q6z*X>p!xD=AJwpl&X*S+C;W{G@(jvh_X{y^`r znv?BL)YM*Z;)2hEk8z_{SH#i%(po(4;SQVZ%#yfx^~t({WVcULeWYD)w~lJA58GgG z@_@r3%eDB-N#w|-Ze{Z}{?qj7PjWg3hjO{zZwX-5kd9BbOv8)BE@O!{0bS zy2i%J8XAb8$hSP>s^4dd&2#~mFJO};7IWSk-@0D$i3|;e@vUJ(@BH3J}a~Go*rEVAA)JzsgPy@o^TJWvPDEDD|@{)uQ##c$)o} zc=bLTAMLIA_?Isybm&UwC*L$*8A`v%nEOnv%`nRjKJ&jy83y0X6&QIaFbxY2&)Tt^ z41P6RaHzWS{y`Gfbo_*&VTV&gl1K$S^2drn8d z=q|c>Nw!JoIMp#`$;0{4AEc`L@4x`d%$P^()7?Q%P7W|ehtjd=v>$$TqC))W?A*9H z-6+?ZxY3u+h2#%H!LPGgfB|VWIwmn1^}`Z)j?znZ`2^6$!h9dhAEPIYH8V1r;xqJZ zXej)yxR{?lwPNOD9wVA;YQRK&Z0mYA_zu6P;*Rd_vxI-6$wNi-!IuC%OfjY%ono^X z!c6psH$K}71xicAOhHbX2ai+jL<>6v=l9d5R);_L)B6Sa;$OQ_oV#uOqBamO82bs(r*raF5k=c zgNtX~*S7I#vBsIov>qNms%L%-Cxd&wZWchme5O=GMMJ~F(6CFtGEXX{PPg3;@Py6H z13C8v=cytk&@XqE@YyyWA3Z%iV6sa}h8Z^j$=~VeX@j0HhUbyRt(9_v$>ct)pDe`R z5LG~1{4z8I(qm#mewcZ~ox^&Aw_t&Rhons$88+Jn3VyzgsG55lPg|*Rbt8pkXaObu zGhKWf=~I%55^SQ2O(T~xTxd^?qp|YaWvoO+0m#G0H$Rv~DQP+E7}`X?qUH$@gXl{e z=jaiiq;$|QQavoI4D|CC?TDBN5c_&}q~pXNf30k!&S}-DbmcuVfL$EyR+gKrL&bEJ zmeEo!YxL5};vhj2+eA!u#<{yglv^}&F9B&<`{D!NN=>A{$BoOKZpUypllSq<8s%7c z|L2v(y+88?+9Kz;}F+4>`QTu$p*ah$yr@0=Y$gr=4Wr1J>fHlUQVn^*9Ul;XOIkmX8NA zwBXVXV_gpgNMECcZoku66zo1C0;t_XoB2qb#Dkz#TM#;!Je3h{z0-XRnE z{jeP0?O0ZSjHRV1*SsZ0H@2}EsdUB)iRbZ@+_oNgbe-=WL!=YkH*>l~SC}A;gzP)^ zBB-phHtsm(IoOFny<}u?KAE2gF_=34z}JTAp>9{gYwEGHAh5v%dw923OiMa+OgH0l zJHlt9-C*n2TUpL(D8cXU;?=bu5*+mEx@R!`@{*tJq>P7}Ls@|5vE&U>D2n&C%BQ00Cjj8DQ2Sx7MANfr9B(vRCXdRvepQhwFVu>a3uJlOSc7-k1hl~A)T#>nO zIb_(-O;eSMAr_m~#4)ECP{Y5nQoC96%%lg~s_s>d=-cPYf{o)x1mu&apY9iwP-R4x zbvRDzgTz6=qHP{SJZ0`SRa#1rmdbW7jGspK3~JoqljZu{uMX$LuVsSDv%V&>t1H+# zIfZNADRixG2B8jkcKTGG+=pI*t(dPhj+Trv0s;aeP=7F!=fb5I0-$+cMNW6eZP=_s zMUGH?2F?@X#TC^gLM70wnJ;}7OTOuMJ8Mi5WltFl!?M? z4#`|mVs|2cd$1s#cIV3YqLFuM8!SjtkUy&4#0}}{-K`7I#UL#yUcI!WWOc>k0&YwA zFBsW-X72iH6@uH49#13g1b;586R_|pUF_E4nh>BW#bScKsEc1dE=(&qT z_V@Rf3o$wN6fMn?M4F(Zb$6#5{@uDBu^WB`vLKZFfwX#%^$!c~6?(e+IFwa{a$^8#>E)q*$e8vu_#JTfv16O+gCduALO`sX8xeo{q1 zAE_|F2pC9$rRwp@>bsL?<}F`Zw4XMU1<*dLi@kytSe5t`&6ZDETkmi(nT)BrkVm6& zTvRL;L)>TXI7kC`fg}56rmO2Y9~K`UFA{-gR&a588XOTZJUB>ALn8&W#{rr|I669N zYcJ=B#TFG6Z4aj+g6it(fbMa_LqkCP!p73F1cFoR2c7^x+W-TwWoYZMK11RebRn~< zkj64#BeAAfSy~R}*h@-DNlAur;Gx9!d1C2IggK{=q#q1MSBfZiIM@p}6D`bI`ZCMGk}MWxl% zvB2FeEv1R_3G$6z*c5v=eX&zx z&79cdaKb=Xlh2hHg7xTvPUr2%sM+qZ84$yx!pH_U8oSO@{2sISk*?(s1SC@n25kxnNf zH1vKghyW5266rk50119TwWsPS!O7aKK~e#fAzvs-IuX}dU0ZAC;Ba|)dFr^2hK4p; zX&{1%>cZ9JJfz7pm-g}?wu)d%@u8ZlW316+vb=%K8P*nJmlbLUzppP0b#?AD?OaN- z9jkp$M6ny0J6qKdQg8Vyl;ai zbMx@jI=saxu?1?i3;}}tp^?+o|LXT%fN1Y6uKq$9Dj@QlcELesYi^q%QV0}hyI|j zpnoC`ihHPT`)0`g{SK?=bL1^UeQWeq?cQ8_?RCb}u#uhIedtyW`}O45E4{U=Zp8Xc ziUqH6l?pRkvU2Iiouz$NiwHIi58B-G`Ppb;diCi;Ih3=ZN}DcG7I4_%V}J5BP=6fo^)!X?OF$q#}!~BfcOD`NDv_>Cnqtn z;aHZCKMb<@O6wzF;AN?s9T}0SRvby=0cI{48r*Oi50}kyv-jiOn=>hto)ADy09LCD zM@{WiB>8Dy`BDIav}c^VaARU+9F_pUl$3PHocTB0e%p$YYN z?`gvA?Cd;0078pT-xj-yOFw(nWbk$SzXDOM!KT)b>E+p*rqie0HI_2g<5=O3NjTuQ zcG(G=H|2}$C95fE+0s~(r@T@FU#7>VGDOw7C`Y8IASJ?jte7#YW-QfYg_Z66eY6?i~FEc+Hx&b-n`chUMXg%hsZ6pI*Uc*;-&-OZ+L&u=>3^GUJ6 zCQQ(0`NBc{S&&ix0!1;6&s!~s-4?C{HtXNIr8saWM83PS8i)nSnrbGiQE=(v^&3gB^kOYyQOxYapuH!g$W8i0V|3jbsj9)ow04tr>ChYG zZbw1bdOu-y!+Kesy^s)TH&~eCqTXUAevJL1qr>r61cQ6FQz1Xj|HM5?HF0)*()bY2H*T_k(87o*R z9x`G0HI^$E$aYjaBPrJ=XSbg}XCotH(x}sW&dN4NG^-qjE+B#C*e0rN@@5dIZX?Cj zH?&_#HjS1xs8O}3xh9WW1jGYx>lpg0tIjowN%z!e)c-hxj3SQObU53zoi|MW_7_&6 z8W%r6luDF)28CRVJL7w`Nj}{x-rrO_?uU*d1?k6ngX~%NZ4#2)|kI#W(Xe3Sl+d6ta z`&3imbRxD?J(dVytdb=M3QtjDeTF14F|k&BuRWxmLyqcQM@~>2dYfHTIe1*20D`nx*v%h(b^+w{uY zcY>+yhzC`_mU*fUvv3nSW2ZZo|#fBGlmX+uBdP^id*D8R-tJ8NA2En>J^^1B3>eB zANjt7h2a5FKU-UR@zcRn)YgSUk}bnO9~ldvy&q?^yI92(&%|XxNnyDuoxt>1S{=**^r$&rYC2Wqd%a6iE6pRVLoX$O|NP6a0KW`!-ar?O{DBF5u;8Su za=()65x=9qnXI^+cvPXZ#{2SnRGWe?-TFs-4Vu>Jyk3GIJ`4>Grq#oR3nL;uAH;A_ zUTrUWqSRG|_eCEr{`La=eX_vpr@M7rx;!JBLGmM<6ptZ<^KW?t@XN`Q%fl@S7V5fI zDRq_&#na7WjX3xYh>$HX=<6&D40BaR5=KT_(L^FRlwO{mS=rf4OiVyF>h-Hv!0Eii z$4^a6WHTGj0pKV=o?r=Xzl`n3T{P^TfIQ#FHd)5y-qrSU=5DOD+g8-Zr+8-ZW$ zT4-6ACs=@uQ806KVBlc2-5)s~U>p}3oh+Q3oB*t_-W_V7ukU;`zqPg|r>)KF{cr>S zniRyZs2CR>KJ?=g)C)*~dg~=5FfS~C#eg+2_aPyxnHfQA!^MmlC$*oRfuR!M`l_of zET*RC=K6qc5Yy8My&JWzPJfe=h0F>&SG=@%z-A9iSs%#QP+o*BlFA@d=JD+eB>gi; zZT@bz(I8VF`gZk5(29$a<64yOJijG|{=|j&dPGi}AYU<-xKO#zT*3xV>y@RD1?x>w z;Y;n^t;qww3Nf#2`cgC5{zr?61ib!NqQsF*E)i7|-)s{GX1^n~s$S4rEmUcAV^4bt zK^dy5H78@2@)NQ__XJ5=GeZ)K!8{D}<^2=WOs=v;rCn4?~ zpL0A`>J_V{Ix_6#-1LbXuk*+%i;}ONcE-h7C&kBxwxF)P+RI@ky(wjJA&d|XA>Qh~ z{i0;8lFEx?=!Fih`LWJ!HxXR5&jfZFR^C=4Qt=9&rOh4>N7GEq+_EJ|ePN9&!5#1I zq2U(S`ElRH zIA4Z~AB=aeOiWat>i74D2FXi))AF*W!)D)hP5F|vjAy-5TS*i?$Qk6lFSA+b>0fFA z*2Hi+IM;D0fg6gLi>Hwfc96lRd5LMQ(`+k|mJ|FR0M*&Rs7dAyfff09bE**Btg&P~ zbZTrdlFK}AtwBm?^U`T+<$!iU2-U&)jnAdtohjK)rkr!)%%fIe-U8AC?VfXkDy93^ zy1|*Ap^s#AJ(x>sZ3m1xlx|Ut^>KR!!mzqrUdCYC4-ZNLUP{}o;Xp`=r2P03(ieaa zr`7y!3m{BsX=zXekcR+$iHJafhgYjH9RslYe7#K@(EcNw=IZ=>f_e%F-~#m{JhUJ1 zm*Et)a-BBsK+jnJ%J+ZDRUZ6A6*B)gp35@x7XoNVFsZ#8m4&4EoQ`O4l)UipYd8)s z{CeNKnema~Pe_X5h29Y6QCxtdCx1Q z;0WlDxb6Ky%?hLVU@Vh@UbnrN;LNt;OmH^wn18;xwIhQF)#%-|N^j`WCEPyZO5tZV z=h*afq51#UFqH1WT?q>GZ^#it)tZRxOI~Et#ax71EBMJ;R90;$Z{gp;=tM0J?rj+d z!A@ej^S0so9MY$U!jsIZf+1@xw*k?!x+DLeHausnJFe6O9}X81$RILCzcbgWs#4`H zTt2NlpnSs$3fWT1xeb!;DW8ZZxsHsR9m1KJ3bA@@|4MFyl76IJnC_p7KO zrmAbl19KI|zkSR8jX4ocxV4@c?W75_9j&Ann`x=lPSK$k4Awfx7Gzrp;3I&O*T!Otofnzv5T5H%7qwIfHci&>S??#es{0 zn6Fi&+UB1Ef#2iLcZTknZaoD%#sXnNF=?=QEy=Y-mZ`3~tzdis zg%g050Kj|@At|+^gU{32on9=7U#8}k0))_h;BC4p0h$uaC1SD-jZqCW+$g)ibJ785tH1ynOYpB_M^q$j86Yg^rq2V(n^ zWvN=OuCCJ3C?OGlaO7^~mQwN5Gw6(ti;vf8ODp6#n6C}{ywNLX_eVM3#P_$E6#9!A z#lsQzCHxs`$~=rX=Ev$TfPg~w0J8*Y_kLzG@ACf8o^WGbcg;1zbU$9=YWMvUQ#<}? z>+yKeF7oB@X~S;P<s@Qd;a6C!o$|QbejQ;CmqXVZ(J*>uJ3*Km|~8;2^*Z0t&Jf2sUe= zcSf}I5dJR|IcpG=Xn+$ZANR^IMDY3CMJ)uVF)hG-TlV)Cd#`bD%#Dq&nuSc6)pef_ zLV=pBj4#hnaq?_>ARX@GAL??f2nAOlY9?sZU61Zb1Gwhc?*UYyQD<2Qv~jfG6#bAJ z87TuWlg7rzW@ctU+6B;r0i<|-c19|dtf-{K0<<*%Rn*pMwYWP0`qoOTmw|x+^2GlE z2#X^oz&>4}f51MFuyrh1fa*kw zjVQh4qkj+*{PDiAFs!TCMvp^nz2AdN#bQslQCz4Hs=~}grK>$H9MSbDS2-_w-$&H) zW4sgVk09Uw2GNN%4*5=*oE7aK6x++tqA+*H-bN78cLBwY{!i2Yn_T0>nSD|oh(A|8 zNivTj$i%{8V|#o5;9z5K@*N>zh5;~f-X1$UA0=aJri_yOm%jY5!sMSooaZ4K0SeCF z#Ni0(np)t73VhK0WX{Ta-r(ECGy&UY7+xz$20(Wv0c1z{>)q+rKH3tKopH;Wx+;#S zARB^PDuLxABQw$yN^xuA!_jAJdr21tPf)$EyYNq}9`BL`m|gun z^j8_2YJZCpfydN=^{gwb0WrJd!oZ$>=kyB^MR7Z zCOXKu?S(sky0IQ2q3Qozu*TGQA>mkf)H30)Z$S?pB&usz|LeWYTjG!q{2|9IkA{ zq4L;(l?3qOaMQ1~YlK3Hi;t>BZ5CzwBf3t9hri>Iq)J{%3bt4XqixY&#%o>USf{vfE z3?!Yg$TFCpFAs7&;Jj>&ZEGN{RhXFl=`wW7-u6(#MD}{b7;Y0es4!{aP&o<=-REy$ zw#dYZM>Lvsb<#Gf)G}#%Tv1*=FZ*t6 z2`VJOs@kq=+n^aZ|3x@kLp3?{V^(rS6}II7FBvVKR<5 zf?AVfZAN~}0aJyCm&02=tGB3az{s3MOnIZC)h1n7Pit`Z`DuBvaVNBY=+1J=-$uuJ zorcY2I@h{~yRJN(&UP}gc@7URf{R0~UZZsDKT zvgkdygN431lEj1v$}$-SfeIzBk}cSf#S2Mm3r5sJWOj&ta#e7Tcqbblzml5Y?S{Q@ zs0Y8!l%+aUdDaxb>SK-`c8bMQKov)g8T#=(W^7Vi+~&eq;;`;u8dIorJ`}&$=>g2G zxx7cpngJu++3-8Z$-Jom$Y1SEm@DTX^8mnm2C(VcRQRZ({}b$z5A}xub4%Q1@0WFC*exHG{oq|-aOEbb7z5Rc-eC+NUVCH3uM53UeOkYEdecI9*rBhb;nvOjutEQk+>-hsk z%B^euc-8=|i=QwJ`5*?{gC7l=!7u{o(B*M$4aJV8#oH=uZX_d$o)uUHNU(4HoACf2 zKvo=~0y^aL#ZpJquT;I4p-o)Kmq{NTm1Sb8-k}l99)pB>KH#%3UI;mwyVYuUY18?< zM-xCZClN#YSj=e&oIio!L2ks2O*rsq{Q^J)%ekuDs;aY}(L~7cK-=oEv9YA2Bmg)9 zt(SP*FMt|$cwiuf*-TzZX=<^-0piE>wDaZuR6|39*Zoy^csPsX|3Ooo9O}V70#=-v zl*a@tPrHqoW!T?$FG^YR|1J3PKVYre_KQ}#5nmx`fLGsr@M~&J8iUXt|9+}@R7yw_ zxW!6Ko2^Oh{XNt0xC7?Qsx+Hyj)sz2pF} zmlz}{UL2Shd3b51rQs8glRU~q9Jl{cNJmKJl#``)V_FK|m=Kv9eouCJ zKdWG&RGIF{zI%)KpR832Mo93=XBvuIrI<#M`YS1CJsz&>Iee7OH7Z_pcY_I9%idS@ zP*C_KoI}Il*|hT2AD7cND)A@#f%I>(67tO7a%G3eV`j-Akv#G zv(>%5!qoFo-GJ(LEohBt69X*X8#6Mf6|389C;^MFA zmT3qhc!(3Q$G%#c7;mk4*@S>(ee4HV~<`E!34c)B4R~g9aE0b zRcBq&%Q1=?5<&C-Du)A0p~I6x}uVX z!;y1nx!roVqCHD;7LKmW_ofJ~fdTD@y~D|fF^wV+m* zoc-@*cR74ZsSnOimmXV_HZhYmYit)YVf%e_L0%pywWXnx6N^A5GgAm|z{YfK5J~Sk zlnxUP1b_YEOI?jB27RaQt`ET;2HPWd?!MLct3ndt8`s*84q}SNH)$t@kKz@&LhNspe_3U7Evp||eGQ0P^u*mA(^WqA;{-2RB!~R9W3}hZXySEJk zkmoanR4~Kg8`#uo~U!05w&fQ=ThMSC)-g!2x*Wc&*Kqt4Bw2Uv{QN%TX$*;=o|P3d4`+ZXid^GzDs}Am{MMmmj?M*wx%;sc*X4;q7P}$h z?nZes2<6z{+c+XJ^}y)k*N2t$qYX)Wd22h;^oL6c*v4sjDH954pX1qI2GM?3tvEah zGtHeVoNwQ38Cf5*?0=m&TiJH295t$wo(VmL0(L8t`c0HuHQ2?m@p`WmhN4lid7g}BfCOG^o8tFxpl}}RFuFK;_^xw zbSji;%pGI>UER}Ni=TxueFddL;wY0e#|~u{{+E`wbM5aiT!D6pHu~9|!PT^y-ka=O zo3}%m#{xqo6Im*g)75(>JwrS(3UV=x&7lkd8!Wcpc}9%JLzEPF=9p8Wx~X7rcXYf* zy(ex7_;71WZtjEOf- zsf!wEJHKReJjvxT;kMl3tAa3Miqsp_g|Np8wsUG){2Qtc)8kStBFnUXUAL!8i8e6Q z_MzS=rs&xPbxN?I?5)lpH0`qrJNb0mw2%Q&))(N(y4j{zAQJE)9oZX{vZnxsH3RR# zQlB*(>UojLEu#<+2tQZsqk!V$&EC|FLIZ}=Ry_)BvKyCS=ZsQNN?G;UdM5g76P5G@ zM3@MUWm5e-HjQr{`=-Gaw)g7+8fWCGGd&T^j0bpkg?!QZXU8`qX*(w%Q2S{r^M@K; z0d*Gop*NpHph2=!=IGF&;=U!T_X6qE1JNu@h;DwH=?zdjU?PEc>K4jSy4HBxXIZ3w zJj{``=G^*Fsl%h+mop-Hb>CF7l(`(ve!a}ZwXo{WI<1Y}%(Fz>33SiQw& zNpADBb1%jD9>d6y9sUx7Urg`o%i8(?1du#!N#M3g%g#?Kn=eD|^Yk(*;t;-O=g~>* z)$$Rn)k=-pP@%BI2Aa?gZk5kryD5(xrE@uL&JFnVaBOb(i=S9Q9ugK?xwU6S>PZ#K zqzUAc#Po&exM)~8hxdoo^#8gi1RXw<#cKl#7cuhL z#?xEJt^$z22q}16X9FJ^a~3-=8@!dZf=F3p z(LG)yp*QKEL(|5mH}WadKw1KU0EcjU z`#a(sA4kW@2eGk+vkV~XFG#QFF<2VCLmu$(uq$?CBF%_{ab=CbE8dG5_3(P zVm2=?{Gp=jo87`=Oa7>7#7`5~t|4BaXMIpW5|^v4jc&!VVQz{L6X>LP`np##A4p*; z6ruy4^xv^=eYmi{S+~a-BK*mm7YiJPVt%r1dnqh&7-k*``N!GB6&{ z4SI!}V^*v_12kEmp`f0WnOR|Cz00Zp`oT<@M6SFaaX&#k0hc@V`}ZA$jzsa|@#?vX zEw>WHqVe*%$`lyH&cc7lntG_x=oK#ouyBlhLvvmp;D3wjGlYS8vAu((*N?aG=W-k3od|_DkEd{?((2?|BIup8xLtWTWJl^%|qK2Iws~T=(XL$@vY8i5=yF} zlBR`TeHlSCuiUX>Q?W3fXEL4;@CyTpg2%o5bR2W#a_z@oYn?hikCy{U_8$OEEqpkmP=$PE}tWdDhPy9o=RLC;O!uaC7rGoMN;-rS}a*(q(0_#R2jdIytK|{gcdj@wINLD=HU}#y8(uuD4#i&F9zK_)_o8 zC$v72sZXq-JIV8Gh7NyMU4kVw7ww_JpfgekVgBA4`30*$(;>ggZY^CRD!=jbo z(5PKM$pd*_k<0rYhL6_wmmtt!2D*B=kOlPPEZOBoqn9YVd2pyj7~ettX@Q##)0^fU zaKFEl-9BEg00u-L6+F{DIy#O246ZxM>Z>5x%M4HWKB4 z4BcYVQ^D0(e9Owt>){^B1qg}zTC}99yM)GnqN28m`CvS-_!mjJV#xFsHmtgLtlV^;L_aO94JG~1_W|( zxdv7>$R9u;itpjq2N2+IW?$}KZdjN-8-D{pp0$-eeD!btTgWe_DcZ+(KOh$9U+kkN zdGmEic;%l#_@4HC?>{0bVmNf7wQn$8*qa?UqEO0OT8pg>#(4L8Wy*Wf`%4R`+MI01 z1{dDpww7@=U#1yz{9Q2^ZFPU3ARR8_t;a&bb7+?8lC-H~zgFy21`xmbk*`ay&lU6G zLS)0G6gOM1%Q(`Q-wU&;AIv6bl&?Vir3G?m)RYiaekZVARn#KWgVdWsqldyrUu`O_ zd_&>=&GtEMac!0(=nhE=TWIF2s2h-o9IkmMUhnD=!2&)YMzi9BG}RZ1Wgyx}-gqQ+!3&kStK0E}(OAATY*HBw7n570W-|VfWic;mnP;TBKK|QOsEc#4i0rV~<0V^f;12jz zaZrR;Sofk0JE?Ej4vGJ&ER=Y{KNP0NDgCQIQIyN$ldd`^U{U0&hLX9G`nuw3Qn39= zF8g)>D}Scw6BR`)(>%5uL>zkH8#xb+0ubR=hqYlLKjjtC_BV}n;` zCp_4JIfQC#H!nsR^P$#aZ`^J#7SF8uzbQZvT8(hzap+qh1OVOb*|6h$h%LbV7$PJ2 zd`w!OccSt!s~1&N$ZiB`yc-g6+Y2M}WYzx#bm-8*JEkd(Pn_;AHaF@}Pg2d2js1}$ zZ^^G2RdrH5($U=9!mf#uDqZbTfy?c>+S>g(z5Xo={J*omAi{zYTiWW&)l%aRu@J9~; z&(Z(1F8PTV#q-oOypSY~h%yuv>7_^5hyq3mz9!R5H^c^}giv;W^0FO*-N z5yej?=I}Gd^zYi7{?aB7&YRH9)csVAj)j?n8FJrysNZ%^4u=gVO3+Xb3B8vCV2|qT z&u$4!V6A;S@;&%q`@7STUSB1`{$ApFZ|QS20j{`})d$zqXc%2bSj)J7b6#pEVtnS%ezJ6)J_W0G7oBFXFv5yQTeU8Vy?tGgj1%Ei_iAXnD>waZ%lQL;aCV#DW zvJEDc&*vRUehjbCWgf+O&s2Twm)sq91$acN3+Hlgpp{nEIwr_Cx8l=jvbu+BEheh*PwU0@ZC{Njdr8&10fndN&4DT-Sbu z4cig>x3*=>{&IZHD>X@4&gFWE>oq9@B{_#fROUge^~~$Y5!OT?v6%6&nx z&Skmdx3_0ZyH3y|vUPm(8&ey>sk0=`{?JXk-+13l{e1xx)LB_q=WJ_h3v^lms-xQ4 zEua8GA-i|}Pith=Onu6{&3nQFhXnU0*lf8s4N}24GYk7tE9xTE`#ikvMO?UCWaD=< zBh~475L#JcxGgun-p5xy@6rFZ2j~>{Odp*LuxVI3vjB09O4WxhhKW6(*@GfwH;^{y zHY6n>xpltCWx}rB8O)m41IfytHU6LGa9aS4G+OM z35lpl2vOr0Eqcr#M2Ox?h!WBJIE-F`ql+ksezk})$`}kr7rljuA!;Ie2}YgK?sjt4 zJ$J2p_pkkH?{Ba5eS5v{^FAdR&EfJ1|78|Z?AF9X<~u+m?KrlsK$4a)y5P_HkFU?T zR6i8q+zBDfDgi^+|DfQ${!IUif^%@}KYI!UH5=A zUCeR>D*RC<4o`-^R=-7TU~m|u%;9%rMWd?)j-pGpCO;5WhNU3sat%Zoi_Q7nBqhrfCGpzd4CD<{$3ueX6O6puM)>3}jv{ zFD{~5t6EwaJGbZt(IUosBq1b$n+`o=NE*?_K(7Tu6+j7%p4U)U-#SP~xRK8+kO^M{)PLk)uv+2gvNC?qn?7q>Mm4scXU|uz zw@(EQ&CVkQO)>oJ?5_f~n!vH@Jk0M9Fp_k2-2D=mP&+>)Oq?xUT_QI@W~<(s0UOzb z9^|!62e0?61l2*=UpzLAF7h2`T6;PIDoVbXjcTyo9y{wf2~Lxj~x*n$>ndZn`Xy^B~8%L z4w~4Y_7nT8uMj84UaJ}AeNS%sC|o7~_`#i+QQf$AR$nNvW=>7zU*sepg_>`q0E6Jw z)m3Sa8RClVI5t+K&oc7UDcUgK~&@OW+)?ZTYgUL0f@G1orpIALxIl|)R;wUL8D1<7Tu$c+o zV1BK2;}Lv3buREdG-ix6YcdM;LMz!LvB4YA(E+?HkhZBQGoV`%$#}*e$YKXCY@sk( zx9{YRjV|IzlX?4sD{w}(k@(cSMC^ifN`Eb$uUV(0=&c`C&&gTmwh7i#OpE3YV_8;B zM!-Jdx0lM1kz{FHZpdyD=FL0MVS1Kp8gUFJbUQU@G)g}U)}G*0eHX)Aj>T`Ii|MQN zDF2mrezuj9Wk0T0cQyF_0&qlk3XGOr%>6edW`F#+=yhU~`5`1!L2ny;(p61M+cx57 zb%ZhVqF|j98PrJ`q~wTd+fU9Oc2Rtum7AMeQ6UJjcXj=FmmpkiOq3c1j;58C%`FwS zx}N7;s)d9whL$Z8teM*Ungqr%E}pNnk>Rv9}o_a)l#5eCE|~ZV8F>8;qNi1%`R{qNRiC3aA_RS;lTU4x~7ek`)b> zee2{`X|~_VT+MfwgN3g+j=ui!;Nd_wWsoej{LK2=S1$@%6I0W$&`{7l5fL;%_B z!(1+PAxY--z1IL4F5U7_KO%7-ezGZ&+GLd|j8I?A4R@=a8Yz>Q(O$3ga;(I7OJba+ z8nFlTOI@7#3TH1B&)d(Y}LNA5Py3ZJ$gh_@?W;C~;~jF6~v@ zCBOG^rRDVPX{Z>t@agWv(c3I2aeuEd_;_vOHqIzybxfbDZ#O69psoP_PJ+OMEFj1I z*=iu^0gOku53;il3b%)tsti2~=u?FtaUgSeI%NF(c2sFJMBC6%!ls`d6crl_{aj7`KE3O651i3x3r+@CbiB!$@!#Lf zm7|q(`aZhK@(32G#4ui*?ZAlSZ*J#<)gOM+5>hLN1XUGrodXERZ**42?AZ-Cxn@0TC48d2t@t(BP!2tBc4+ z8GDWdPkD09>quVBo19(U4}j08m_m9QJi8I3vHTsamARDe5AgTPgq-&-;KACmPI+L|l zk6YW}3I#o$$tME3wtb&04mc!Erp64N+nVl-8vD-0P^TGjG9gKfoQ31@NvDmlgT+(8 zLTbvenc9pPAsFbNT2ZZ5gu7)=LH1lzs@N%JFUOCMGbo^G#OP@ftcuIy{Ir2kDPtGZ zQc>F^3VZ26Iq|-YB;l=1FP=kFknxMx{yU#K_E=I1St8&x}@3k%AL8O+KRVAzA<1kg^j z`br90*>*S8B{`XftRctvskyGZ(Lqu`(jwdG`bOZ1tVI>am=awn-owDRp=E(Z&!Eq( z81GU}z3CXUkJI&Y=`FSdA`Y~9MMT~qJUwYxG%a(x6s)jFsE8!QLMp&|8`oME0Gms_ zvc4Y7*dCFj7b!bg4^s__%sM{we<-S36i*xRp-}Vc57Sk{*TM)7$6{eIFOGmyG34*A z2g_Mk5}_9d@%znLC4A+U9TDE>e15xqgwervx4oMo-$l({!B%B!J?yaek8Q(Zx`|~6PDYg`wco6MB3Ja&Y$SxsDRI6cGSX+( zcAv18n)Zs5pZXQ&!S zTMuV_1va3$FJXxeeZe9dP<%9TurVQEUNcgA@XQQOY}P=dA5?dgx0mxiugY}RaJJl( zkd{2oAF1%CK=DX`_-F?q;GU~=RC|u~R$cpgBgD+fHYOIRIRyv<_V&Md^-sWO49Hvp zG#t{>CS0^Y97vwF&l)nKF%zl(5X5Upbu77wzBIq;389?JYI+|uQ%mTSAiNIYz zNn|59tC>T{IkTDoV0lC2_OJ)Hiing{+Q1T!A|v!F7lqo%vw$h$2}0$8iCik6dfz{K z!vbQGZp(L`Jv7rHZuHt`uv7ZEGdpU#6#7fPTWszt{GDDq3Mo&8%%r0x|7KGCdJz2S zu2lyOHP2u58s{y@p3WQtLMw*jG!6z6ZHDTr^a}emo9AhZiHT8?g|0b_ zRhl6Q-&^f!Oy6iu3WS lUyqfdW}*6f60k6L%GP*K5h-s|#BphjtMWusu|mNz^xuY^!>0fM literal 0 HcmV?d00001 diff --git a/simulate_display_init.wcfg b/simulate_display_init.wcfg index 26f60fe..3f5f67b 100644 --- a/simulate_display_init.wcfg +++ b/simulate_display_init.wcfg @@ -20,6 +20,14 @@ reset reset + + busy + busy + + + lcd_db[7:0] + lcd_db[7:0] + data[7:0] data[7:0] @@ -32,14 +40,6 @@ new_pos new_pos - - auto_incr_cursor - auto_incr_cursor - - - lcd_db[7:0] - lcd_db[7:0] - lcd_en lcd_en diff --git a/yasg.xise b/yasg.xise index c523e18..ce08d36 100644 --- a/yasg.xise +++ b/yasg.xise @@ -16,19 +16,19 @@ - + - + - + - + @@ -59,7 +59,7 @@ - + @@ -90,8 +90,8 @@ - - + + @@ -101,7 +101,7 @@ - +