diff --git a/lcd_driver_tb.vhd b/lcd_driver_tb.vhd
index bfd37aa..3c4de08 100644
--- a/lcd_driver_tb.vhd
+++ b/lcd_driver_tb.vhd
@@ -37,7 +37,6 @@ ARCHITECTURE behavior OF lcd_driver_tb IS
signal data : std_logic_vector(7 downto 0) := (others => '0');
signal new_character : std_logic := '0';
signal new_pos : std_logic := '0';
- signal auto_incr_cursor : std_logic := '0';
--Outputs
signal lcd_db : std_logic_vector(7 downto 0);
@@ -90,6 +89,7 @@ BEGIN
new_pos <= '0';
wait until busy = '0';
+ wait for 10ms;
-- test sending character
data <= "10101010";
@@ -97,7 +97,9 @@ BEGIN
new_pos <= '1';
wait until busy = '0';
+ wait for 10ms;
+ -- Reset
data <= "00000000";
new_character <= '0';
new_pos <= '0';
diff --git a/screenshots/LCDTB1.png b/screenshots/LCDTB1.png
deleted file mode 100644
index 1e55e6b..0000000
Binary files a/screenshots/LCDTB1.png and /dev/null differ
diff --git a/screenshots/LCDTB2.png b/screenshots/LCDTB2.png
deleted file mode 100644
index eac3d72..0000000
Binary files a/screenshots/LCDTB2.png and /dev/null differ
diff --git a/screenshots/LCDTB3.png b/screenshots/LCDTB3.png
deleted file mode 100644
index 950592a..0000000
Binary files a/screenshots/LCDTB3.png and /dev/null differ
diff --git a/screenshots/LCDTB4.png b/screenshots/LCDTB4.png
deleted file mode 100644
index e6f6548..0000000
Binary files a/screenshots/LCDTB4.png and /dev/null differ
diff --git a/screenshots/LCDTB5.png b/screenshots/LCDTB5.png
deleted file mode 100644
index 11423ce..0000000
Binary files a/screenshots/LCDTB5.png and /dev/null differ
diff --git a/screenshots/LCD_TB_INIT1.png b/screenshots/LCD_TB_INIT1.png
new file mode 100644
index 0000000..b9d2ca9
Binary files /dev/null and b/screenshots/LCD_TB_INIT1.png differ
diff --git a/screenshots/LCD_TB_INIT2.png b/screenshots/LCD_TB_INIT2.png
new file mode 100644
index 0000000..8688f8c
Binary files /dev/null and b/screenshots/LCD_TB_INIT2.png differ
diff --git a/screenshots/LCD_TB_SEND.png b/screenshots/LCD_TB_SEND.png
new file mode 100644
index 0000000..91fd164
Binary files /dev/null and b/screenshots/LCD_TB_SEND.png differ
diff --git a/simulate_display_init.wcfg b/simulate_display_init.wcfg
index 26f60fe..3f5f67b 100644
--- a/simulate_display_init.wcfg
+++ b/simulate_display_init.wcfg
@@ -20,6 +20,14 @@
reset
reset
+
+ busy
+ busy
+
+
+ lcd_db[7:0]
+ lcd_db[7:0]
+
data[7:0]
data[7:0]
@@ -32,14 +40,6 @@
new_pos
new_pos
-
- auto_incr_cursor
- auto_incr_cursor
-
-
- lcd_db[7:0]
- lcd_db[7:0]
-
lcd_en
lcd_en
diff --git a/yasg.xise b/yasg.xise
index c523e18..ce08d36 100644
--- a/yasg.xise
+++ b/yasg.xise
@@ -16,19 +16,19 @@
-
+
-
+
-
+
-
+
@@ -59,7 +59,7 @@
-
+
@@ -90,8 +90,8 @@
-
-
+
+
@@ -101,7 +101,7 @@
-
+