diff --git a/lcd_driver_tb.vhd b/lcd_driver_tb.vhd
index 7070f6f..bfd37aa 100644
--- a/lcd_driver_tb.vhd
+++ b/lcd_driver_tb.vhd
@@ -25,7 +25,8 @@ ARCHITECTURE behavior OF lcd_driver_tb IS
new_character : IN std_logic;
new_pos : IN std_logic;
lcd_db : OUT std_logic_vector(7 downto 0);
- lcd_en : OUT std_logic
+ lcd_en : OUT std_logic;
+ lcd_rs : OUT std_logic
);
END COMPONENT;
@@ -41,7 +42,6 @@ ARCHITECTURE behavior OF lcd_driver_tb IS
--Outputs
signal lcd_db : std_logic_vector(7 downto 0);
signal lcd_en : std_logic;
- signal lcd_rw : std_logic;
signal lcd_rs : std_logic;
signal busy : std_logic;
@@ -59,6 +59,7 @@ BEGIN
new_pos => new_pos,
lcd_db => lcd_db,
lcd_en => lcd_en,
+ lcd_rs => lcd_rs,
busy => busy
);
diff --git a/screenshots/LCDTB3.png b/screenshots/LCDTB3.png
index 3405211..950592a 100644
Binary files a/screenshots/LCDTB3.png and b/screenshots/LCDTB3.png differ
diff --git a/screenshots/LCDTB4.png b/screenshots/LCDTB4.png
index 477182b..e6f6548 100644
Binary files a/screenshots/LCDTB4.png and b/screenshots/LCDTB4.png differ
diff --git a/screenshots/LCDTB5.png b/screenshots/LCDTB5.png
new file mode 100644
index 0000000..11423ce
Binary files /dev/null and b/screenshots/LCDTB5.png differ
diff --git a/simulate_display_init.wcfg b/simulate_display_init.wcfg
index b6d02f8..26f60fe 100644
--- a/simulate_display_init.wcfg
+++ b/simulate_display_init.wcfg
@@ -11,7 +11,7 @@
-
+
clk
clk
@@ -40,15 +40,11 @@
lcd_db[7:0]
lcd_db[7:0]
-
+
lcd_en
lcd_en
-
- lcd_rw
- lcd_rw
-
-
+
lcd_rs
lcd_rs
@@ -72,10 +68,6 @@
next_ret_state
next_ret_state
-
- init_done
- init_done
-
cur_counter[20:0]
cur_counter[20:0]
diff --git a/yasg.xise b/yasg.xise
index f94e069..b58a783 100644
--- a/yasg.xise
+++ b/yasg.xise
@@ -16,7 +16,7 @@
-
+
@@ -51,7 +51,7 @@
-
+
@@ -59,7 +59,7 @@
-
+
@@ -71,7 +71,7 @@
-
+
@@ -90,8 +90,8 @@
-
-
+
+
@@ -101,7 +101,7 @@
-
+