From 295246570f59959bd9abcea8c9b82f07ccd7a2c3 Mon Sep 17 00:00:00 2001 From: T-moe Date: Sun, 19 Jun 2016 14:03:35 +0200 Subject: [PATCH] Added file headers. Added New Toplevel Screenshot --- controller.vhd | 29 +++++--------------------- controller_tb.vhd | 34 ++++++------------------------ dds.vhd | 21 +++++-------------- dds_tb.vhd | 33 +++++++----------------------- io.ucf | 8 ++++++++ iseconfig/filter.filter | 4 ++-- lcd_driver.vhd | 42 ++++++-------------------------------- lcd_driver_tb.vhd | 39 ++++++++--------------------------- rotary.vhd | 12 ++++++----- screenshots/Toplevel.png | Bin 58734 -> 0 bytes screenshots/topschema.png | Bin 0 -> 58813 bytes spi_driver.vhd | 26 +++++------------------ spi_driver_tb.vhd | 38 ++++++++-------------------------- 13 files changed, 67 insertions(+), 219 deletions(-) delete mode 100644 screenshots/Toplevel.png create mode 100644 screenshots/topschema.png diff --git a/controller.vhd b/controller.vhd index 4967cfb..a191329 100644 --- a/controller.vhd +++ b/controller.vhd @@ -1,34 +1,15 @@ ---------------------------------------------------------------------------------- --- Company: --- Engineer: --- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 -- Create Date: 18:47:36 05/23/2016 --- Design Name: --- Module Name: controller - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ---------------------------------------------------------------------------------- + library IEEE; use IEEE.STD_LOGIC_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; --- Uncomment the following library declaration if instantiating --- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - entity controller is Port ( clk : in STD_LOGIC; rst: in STD_LOGIC; diff --git a/controller_tb.vhd b/controller_tb.vhd index 196c2e0..9809fe8 100644 --- a/controller_tb.vhd +++ b/controller_tb.vhd @@ -1,35 +1,13 @@ --------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 20:08:51 06/06/2016 --- Design Name: --- Module Name: /home/timo/workspace/vhdl-yasg/controller_tb.vhd --- Project Name: yasg --- Target Device: --- Tool versions: --- Description: --- --- VHDL Test Bench Created by ISE for module: controller --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- --- Notes: --- This testbench has been automatically generated using types std_logic and --- std_logic_vector for the ports of the unit under test. Xilinx recommends --- that these types always be used for the top-level I/O of a design in order --- to guarantee that the testbench will bind correctly to the post-implementation --- simulation model. +---------------------------------------------------------------------------------- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 +-- Create Date: 20:08:51 06/06/2016 -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY controller_tb IS diff --git a/dds.vhd b/dds.vhd index 4d11b02..906c01f 100644 --- a/dds.vhd +++ b/dds.vhd @@ -1,22 +1,11 @@ ---------------------------------------------------------------------------------- --- Company: --- Engineer: --- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 -- Create Date: 11:09:53 05/16/2016 --- Design Name: --- Module Name: dds - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ---------------------------------------------------------------------------------- + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; diff --git a/dds_tb.vhd b/dds_tb.vhd index 73b1259..389b7c8 100644 --- a/dds_tb.vhd +++ b/dds_tb.vhd @@ -1,30 +1,11 @@ +---------------------------------------------------------------------------------- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 +-- Create Date: 11:35:57 05/16/2016 -------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 11:35:57 05/16/2016 --- Design Name: --- Module Name: /home/timo/vhdl-yasg/dds_tb.vhd --- Project Name: yasg --- Target Device: --- Tool versions: --- Description: --- --- VHDL Test Bench Created by ISE for module: dds --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- --- Notes: --- This testbench has been automatically generated using types std_logic and --- std_logic_vector for the ports of the unit under test. Xilinx recommends --- that these types always be used for the top-level I/O of a design in order --- to guarantee that the testbench will bind correctly to the post-implementation --- simulation model. --------------------------------------------------------------------------------- + LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; diff --git a/io.ucf b/io.ucf index 97ccd4b..33b508d 100644 --- a/io.ucf +++ b/io.ucf @@ -1,3 +1,11 @@ +#--------------------------------------------------------------------------------- +#- Project: YASG (Yet another signal generator) +#- Project Page: https://github.com/id101010/vhdl-yasg/ +#- Authors: Aaron Schmocker & Timo Lang +#- License: GPL v3 +#- Create Date: 16:23:12 05/20/2016 +#--------------------------------------------------------------------------------- + NET "CLK_50MHZ" LOC = "E12"| IOSTANDARD = LVCMOS33 ; NET "CLK_50MHZ" PERIOD = 20.0ns HIGH 40%; diff --git a/iseconfig/filter.filter b/iseconfig/filter.filter index 94081c7..9fc90c1 100644 --- a/iseconfig/filter.filter +++ b/iseconfig/filter.filter @@ -6,8 +6,8 @@ ret_state_80lcd_driver ret_state_60lcd_driver -/home/timo/workspace/vhdl-yasg/controller.vhd169**17 +/home/timo/workspace/vhdl-yasg/controller.vhd***17 ret_state_70lcd_driver -ret_state_reg_00controller +ret_state_reg_0*controller lcd_data_reg_70controller diff --git a/lcd_driver.vhd b/lcd_driver.vhd index 77250b8..c83d45d 100644 --- a/lcd_driver.vhd +++ b/lcd_driver.vhd @@ -1,45 +1,15 @@ ---------------------------------------------------------------------------------- --- This program is free software: you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or --- (at your option) any later version. --- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. --- --- You should have received a copy of the GNU General Public License --- along with this program. If not, see . ----------------------------------------------------------------------------------- --- Company: Berner Fachhochschule --- Engineer: Aaron Schmocker --- --- Create Date: 19:29:54 05/09/2016 --- Design Name: --- Module Name: lcddriver - Behavioral --- Project Name: yasg --- Target Devices: Spartan-3am Board --- Tool versions: --- Description: This file is part of the yasg project --- --- Dependencies: --- --- Additional Comments: --- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 +-- Create Date: 19:29:54 05/09/2016 ---------------------------------------------------------------------------------- + library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; entity lcd_driver is generic ( NBITS : natural := 21; -- counter bit size diff --git a/lcd_driver_tb.vhd b/lcd_driver_tb.vhd index ef750fb..8f382f9 100644 --- a/lcd_driver_tb.vhd +++ b/lcd_driver_tb.vhd @@ -1,37 +1,14 @@ --------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 21:11:41 05/16/2016 --- Design Name: --- Module Name: /home/aaron/Dokumente/STUDIUM/SEM6/EloSys/EloSysDigital/Projekt/vhdl-yasg/lcd_driver_tb.vhd --- Project Name: yasg --- Target Device: --- Tool versions: --- Description: --- --- VHDL Test Bench Created by ISE for module: lcd_driver --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- --- Notes: --- This testbench has been automatically generated using types std_logic and --- std_logic_vector for the ports of the unit under test. Xilinx recommends --- that these types always be used for the top-level I/O of a design in order --- to guarantee that the testbench will bind correctly to the post-implementation --- simulation model. --------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 +-- Create Date: 21:11:41 05/16/2016 +---------------------------------------------------------------------------------- + LIBRARY ieee; USE ieee.std_logic_1164.ALL; --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---USE ieee.numeric_std.ALL; - ENTITY lcd_driver_tb IS END lcd_driver_tb; diff --git a/rotary.vhd b/rotary.vhd index 8c39568..38e0b2c 100644 --- a/rotary.vhd +++ b/rotary.vhd @@ -1,8 +1,10 @@ ------------------------------------------------------------------------------ --- --- Decoder für Drehgeber --- ------------------------------------------------------------------------------ +---------------------------------------------------------------------------------- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 +-- Create Date: 19:07:22 05/23/2016 +---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/screenshots/Toplevel.png b/screenshots/Toplevel.png deleted file mode 100644 index 5d3cc966ada6eced9fd20b90d416b022c81cb344..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 58734 zcmd?RcTiJp*FG96h*A_00Rd3~=>pQ5QlPW`L?94|SpJQ) z1_*Sc0|dHyo$xBqqD;-L3H-bD{*AsX2t@Mh;_pg48wm~2NZ=-~EJHAR^9}{k-S{ev zaiEFTMoZ64%E`gO($Nk02m(pDSem+7T0Hi&aeMbzPF`8fg42=!1bPgTmwv6~HL;HJ zG}0Nq*0$}M(IXrB`0?xyXbm%G_t#`IA=hUKNfTOy>}(slHeKw4Jj%TIX~+Uytr{7@ z2UuJd4}H3OKtPR}`YmOu41BS~PAxut_kFr^@2NOCo$dPN%|S#8_axh4N>YD+x*zy~ z>F;;XU%)6X-vxenYIx=H-E+bJzc*?2Uw`%0Tq)dyuE>Udaju~Iv^@20{QFsH+wg(j zrd&@7f{t(Z8!VJ<6J*{H#k+b}hbm8rR*^ois;y)6jV=bOIdG`6UG(LB5VPj1{#e)T zm6g7yM#*V8Q6 zbuEO7O9^%KJ5=du&&8Ys-Ds|-$P{cBM7Yi>_52D#1x-;%ek83fTi>$WE2h7d8;hS+ zzoP9f*?#3`cD^V5-C>W{GH3!`ZKDCl<} zi_cGQ^H-Jpo7Lj|xmSZoN(&Zaj(0h1ig0BmVs0nC%Ryl&+15^mDXVI)!+r9XK2RmH zw+6cop4X+C-E00*;n=$ESJ-;HsXO2heW!22qhf|*6V7g!NG@(VM;!D;(_sQ|?9`Jj(Wk&>2;~-^JehsaQQPfFgV z+LheZO#0jr`NVZ|Tt0&=!x6KY+UA0YQPxj0Ak0!rbk&K3vaVzOWpm7W4I@w+4{bl@n-0aJOPdOvsBI zr{wb8@`y6z@+RG~U84W3PtG(WnXqA?%N9n$pJSlCLrY$iR;LwzC+W>*vE7vB>+F6@7U*kn~dc|uj>?|*aJ1XQB z8fp)F<{zD`WiI``d~TS$B%ywS4I$_}eD0(%A*d<>F}%AR^Z3$0nq8g1d%xly@dk9n zR~dDUD+q@@<$C1_KzgH%c@Vf>A%@)QkalN|^di8b7ZIfKO z4{*GJ`5(bp5J-j)=&OtKfa{E3UKjN0Cop9H@0tqVU4#k{h)y=9m0|+T)CJzUN^#TT z(iwn66|y2SMRmA!y09hy!5{n+OQ zy}z@wC8CvHEc>*7pAm30fqG0XZ`S(w?*8<5KR;Xk_BsG?WU4LA+q;q@ z*mH|ru3HHy`FjEj6inr!a@`jrRwGuTT|^MM=Jx}>F#nVYrYpg6D* zMSNinNCyR;OSV>|U9+}jqFbk1EG3ilt?{!Ak4ZZi=m6?!$1jL+NAy~)N8j~4A1^fF>l zJrlhGI+vHY*DzbpovBZQH)Njpms6}V9MHmm^&u+~1~Jg7WB0j_9}Vw`t2G^hJvZ!dd&(zS%GVSLS0GgHY)Bl$QBV$==-JzE2if^a zr1VkdGQE^YG%k*;)~2&8Kk0ORjR5AX+OJk8?Ka|uv!pLC6(+8N(x=SLKl-A%E}f&c zyp5GETP~U8H}a=9Ng9sMK0-VOn1AI@)`_5NQ=y&w?biXOny7xHev5mn(9(Lylkeb+!5$EO6o6<(bb57TMx!?IAL}XGsm~ z^Bopl=EWe;2}A*#-ea3Fd5?#SQSm|ZmqwfB-WJZr&19n)*eK`ey?YD%C9f@u!(_|esxKz{&4VQal-yjzG_09bV?xDfk2BLG37pX z(W9iBiioK@G;t(!PAZr~O|CG*Ccd?@z5u7~atU(Bsnk)Zn(pe1zgbd%eup^`H~0+F za-2fjm~OyqdlH@{0j^iC#@C`K_FVbvtEIt~zN1b>UFW`yR`%cO7JjKnb0); z1)`B!Q_?=>XWQ$iC0)Y>Od-~LYz|zvvJeh4`T~yfxp|y;x%KO8eRnTB<10Ft%I4-6 z{>QBbgh|=N>&W%JIqUOO^4(+1?XD4Tb2rA^FRuIkFVVQw!kfh!v?kDL7>xDoM^Y2o zVFgq4BHR~Tw0j`AxYxcCnL=w2C3M;mSIh*Nv|WO;GUUt2I_V{Po5#t#p^m0rg)~Nq zfRQ#^dXy-rOHbm1jigFe==4mK2a)MV@rj16{SASk;X1zc@$R4ZC@SCY*Df)*;y9h4 zYfkx{NFvaWg>imgA%4sm0d#FR4c4$JM!Ezyq$@$?r}`cDHW>*MFuOJ4&NWzOv_H{L z3q_RiczCUi9Y-_ic{i>I1HOpvZLglh{A`E4F-HEUT`1B(QSzf~&{|I+-ebLcl?59B zHgI1ZBB<1GwVx87e6h6)O4A@@5TMP1QNOxhD8oTt17iSk5C!wL=B}jC&pVNcOCg- z$i8>9k4mNxY)p||KGurNbm8_PFFefEfDCv#Gyg+Q1*BcjM$&B zk*b7{Ax^yEYS_BiLnn@u5MKv;1uqp*->At+X40k((UZbr(zO@%J5^kLi`6kXz7Nd4 zrU}>YVP2@_*Ov~e*Z4FUf~#Ho3N5*N#0zuoOD;-h9Eo&l)VU6VDCI4lsZJ&p?m4_z zf~NcoCzFIZ{aMM6lA9>|Wa2)q)wH$%tW43R-S?CkSET&Xb!@J)h0OEi0ycs+vzzX@ z3Mr0_l&#sh;$O?KV7FcCL&zi__HFe3QLIb2hN5*1yDNO$OAKukGVca6=CK`eNN)_b z^vszShBeoXL()|yzoWUDxOdE%p)X{5M7;YgMm=+^S>zvT&iCc$zufdtNA`gmAfK1Q zBMjnW-Jf@`7qQZVZ-6q(pXjkXYuCB3!g|Ddt%rzB04j{#fX&s7f7eQ^XmX-`9TJ%@ z%ea#ST||<}n{Jf)9E8ZmxGu;lr*he+crNdJ%%kWjtfVwaY@lg0)O;HJ0#ga=d2%{7 zLdPZUmC4UG_++O3V1-*7^h!PvJNZJw4tVhE7whQ9X&f~hRHHH7{)L#uXNRRcjb!4A z(Qe7bC@_0cBE3gDImLH1QCo#ii;IVjfw&`OW*xBOp3d@iZeq@k`I=Ch|wKg?M!U`XKcJ5+tw%3{@4`Bs&YIcBJU)AGz3 zjQ=B(-Pr(dh%b>igV={Nj+#<+xb9mmi5Pmbh7d9Ye<@jOT3ASSb=Y5vD_^~vILz5{ z6kk_c0&Oi+x*?LK+Ou7>q~3Ivc0Scc-Oe~r#AWdk0 zk3e&N!0`rsUZtzYW+SVRz&0l|A(dtr@A-v%)bSybANAf9$!LAvhdGbpMk8bLHQG5y z+-cw*ycroV?5^di4m`zew@$3J(v@~#NSN8T52<-?z=>IK{OJ9#S3+~L8~HKzOhcyL=+ZDqC$UO2X$sF`*fi_T z{WGPO0$GcGNuhPH9Xsy~JL?%mGUA_S$TA4XlQ=q6SLpMge_4fSBMT`yr>N#xN(}sH z{#djv;}|M!%L)!8pIWC3{UTQ6lwN}dF~PU0m*Q`NW^L{~y6k&`@ev2Ob5<@kg}}p+ z_}IM|SQw>hOT{)^#B2es+q&)>eMcPK`uoc{D^KmBX!V~y%SH75$rR$EcY-fz_+z|S zL#Dn%h3X}fLfRJ6{G<%V7SoeTaCTL;@!W!fZX25)B|L631b6l~oJSio;o-WwJl8;5 zFM$xCpO8^NxOoWV{o!nt44hB4-$^6jWr{rpy}58w`@T04uIzJr6dla0$-51an&}L#wSL!Ubi*@Gh8{p$q(n5D49urz$)e`0MJ^q^qFW*GA-jU-Z9R z>Jo{FYW`l2A>u3r-2Y<$kIw3|sdxuJi+pMVG%!B8m}7w-fGk39>OKe*cWK7#C$chN zo!yJF18)0Vbn$aR@HJ))%{Y%Uj)r2vH?27D%m62awz z;%W)M*$pl92^pTr7sllfc)Vbe;nI)QDU!lbia925=BJ5Po)i?IG$_5bAV?yiQ@6#s zF<4nuHFv65<;#~{UT80;7fvSXXO2#kekXDV$nBeaxe-sZV# zlA3V#20R9V`UrLbU-$eG`;p(m@~~~S!)AJMn*jZ~E*|R?MYTs69d#zkGv}Dj;Ib)h z7G+phdiqgOXV`)6j*hg>yhwZ#ZZByOBhpbl@xk&F?1iNR9Wv7cUN~HI)^Dxhn=G?v zBudR=fh#{Z?y2$qC}g(_DF)Lw+UCd?sc_1JXrLx(clR_GBLLVgm5sb*80-}c?T z%}})|o*ovJ*700(Mn^A(>(sr$*BcoZHuQXaxJc}K0uX!_RB*?Gwg)GOGk#A2%7#KV zApsq~O7l(mAlJ8pw_565h(ECxir|CSaffH-^vsqOkc^Jdk4*wNF@Lc(s9C!J&aQQX z4i|mickPi&l+)phq7F|S=lRJ-QaKIvxk)7Ty5P5M^|s7`Big5)IesT*x&nE1AkdcD z#X8G;@j`}6(CN;*k=9e4Q6ttDE3@GWoZcQoFd>hQI!ak9e;6zFtqkGU*!vL1!%j++ zb+xbaVVi4Np5f<2ooCMt4@RB8#5p!f6;mVZyIv47tQ&#Lk`AnEUK0x0^i`B>#@7!? z5;D->oViD{v&-bvQ(fz%zVy*oB)4HBt4ZMEeYqDR+Nat8)-yB=& zo?CKG5Qzp)l22eMH;%ECZGaJ3eS(?Aybkhx@=$Y$hq2$%y-$t4k3R_(T9~L^Ox?Og zaF4(vSpxdkZmrg6oj@M8{pB-o@}o_iwod@56DVhTk#~m7Ye}&6mh6r!=9}}I2%n7@ zotyY4T9v6{kLrS$`qGB@H+<@dy~<#6&8w!y59$}FPOR$n4V9tyfgsp`)S$P1d7I&x zGHw)QeUcPs(&yXy zio>=`XO)v$#NtA)X9J#sef5)-Lr;oOgoPnoC2denMOOQSt#ZPGYsnUPLfdHD*yZX{ zAokw)4-VzGG@U zQh9S+E$zS|jQCimeyFeh{fRVkHXebGq>R}64iG>mlr>C*pupx=tEfu-4Oc_SAR+c{>n)XVdStu*%TVw z4pZ>+rGTiUsZb`wjZvXXOjh4{7TX&p&id%Ko%_G249h@g!>$WCocE^B6t;2N>r5_Z zCCT&)VRRC1J8qt0U@9AElH0D3p9?BF&sZpulj*Bi&f5~;y5S4SWw!Cd6T}V39t?F1 zZbX+Zw@bUs&%zx0IwhZpFjq|vnd3ihDEI|y3NG^gQvF`;?f%+?CV}=!ood8S|(@`Zen!F({ITX(sx+aTs#`l zB4ILG=aD!5y=3S(*Jh)HHiv)E#Q4-?GKq+xGk|RGHDkP>3&tMlQZDB;yQJQ>KKx`O ztFJEx?l3f0u2oz%q0%74AUo!L(s;O}lj|sv^4){fDmNBe6W{20jwjNkmh0!pOB(O?O0ZUdERRr4H`hL?d}# zG&R%OqdMY(^(<4Z@T-~$w0|s@KodX&^}SpaAMs0^;@3itM9U^_U10DF+~745KFDGJ zpC9uGFX+5*Djk1-tOJgJTb3BPAdUd)&NW)^&Dq@)Jx1a~>AzvY^W``4TN(4ok1xIl zBKLn*H%f0^1!cbR`Sp7)gr2%0F39t7^?Q+Hk-$2|qe)j;ZFQmG4532Q`Zm}k7D z+tH3}&+zCLws9-QN-*WbC`$f{o=Lxj%-Y7H%oY_rl2d7lo5r~@IsMQmaQwHikGupA za5fT|B{9|db9=to!lgU_sEYvLXyCjo|Js6CsbS%reu(NL4;&3P#nY2(ye{lyncr<} zGh1ZxKmzd+N+$V60gg{GMWC#j46(fFkcJMQZK}9*?l)T?wv%2;fn8% z<+$NxPV3H7cn7Ql6zn^crkhZnxTA?WM#bd>J6tEA*mFJ zW`*PJM_IZcMGyCD{Tyk+5t)OfAjvVLA1d~H@S=dK)j(r|9uwl7G@3XzUCbMm>_;j}L0$pd; zs6(_;UN*UFr;#xopTa~y8xq7wYwJCFA42oexS znuOT^t8;KjI_Z@r-1t0qAXAs7+6!LsBCpKHVVNf5F#X$_<7tic@uNN&3Tk=6Tyy2H z)>EJE^-SdnulL^i#U+(4)g59qMdVEz<))soCXKZS&KiG9<2%^0?`{7g-nY|P2o$GOVtE_%id#H?sEyH3 zE2>j&4ha;>(Oi8NEpPX!b_ysna9Dt=7L98fG+PRH9$X3vo;MlGQPc zumjWqPQK#-4Jpo?E1l)Kbyg=)kRbp-b2LYDvFsGME?awJji&XN$gV&!(<`{s4ea>D zk3M;m28hb%+BfwF0Q4sxvHC^_;}hAL4c^dSj@cG!uk~`X5L%{Nfxthl-1Cz)eI4^pD%ohrU4+lcC0lmjH~LTNH7O$D)XP zCQD`#?V2FfjwnNm>6>|rV-9nT^lrV7D(g4l;~tHj?XT2%D5E?6ZTWN7GQPxxYnq+l zdUX1No%Z>8TFYs z78=|!p4h3LT&7mkq7vErOeXnp?G!vUbk2>N*YB#WSWBUGRi#e7mZI`-dFkyNUrrdwKm*!d>+_?!S z7f*CY_~G+lGOAj9%*){;-4PFQfAPxiA22qEf3yIVs8gB6+SXk$5g@yKC4Ui|KtSyN zhwn?|fAdeVEOt$B!LqmnAvF!e&^Zk-!z8DBbf zCd}&wNP*-c96k;vWBr@NQ#MY0FV41npk`jIP9hA4EyOuWJ|v<4G8rPMUl^x+91pAY zQq>{z>^qJ5%;b~{$&3z@&Em?}3^in*-yD_-IfQqCH?!Sra+JaGaaJC14I&@{ahuKl zDbDD2(%+vx-Mh8DirDlvnM`P-?yK*+lKCt;`+`;pFZeFv7f9Y~Ei<>m9414v7+&-- z1A!&BMb*U|zLAc~{VvS^QYt|8%lnzr7<;}X$*hEMo66@65dPxRmV__fj!k$^1b^OT z^;NNr2Y-|CpXw`rIZXSCMEb?O7BBtNoB1l@!^}Nm$4#+!`uW&M{d9LL;z6&b2WtDn zzqxv0uCDP~cq=xFo7G#lOa@j3($eh4zkFg{RjRQ4Xr#!)CI-Rli6C0*wOdss9}GXV z(|Ds$hKQt8+VhB$ZcP)jj8KP_@@8VfvvOZXv#1eo zO=Iq{UAA|Gq40H=q<8n~2CLBfssGewxs#}>I<>)9vOTWaX546%FccMq0~g_CArKDY zFlGR7TIlx^@ik+K191JTlO)V=-=nt8Z&9Vnaw zT+F>B4NeZdRIi0zNvJJm*{|q$Qn9LA47z7O>UDF=f;};DQ}GdQXR(xDfq^yBzhkxU z_UcX3r*TUr(8QyqGjd`>-P(rYf!5CP-?A7p-o0b1lw+Azc(GkC!F}mDe zSw{sF2yAS9(>Y4C6XmtJ;Z>@nOVSxBi;0s|k)VL%l%i^@+!5*zB%h;B8aI)+G^)be z*RT?@rf4lG@dR5k3pTHN-4MWFQ2Nym6tlG%(*}u%R7Jkq3`+Xr9UZH30pqnV_LqP0 z=Bmyhpt?@R)Yi4b->9w9lV-g2(YCCLdi@*EnFY!HH8_5Tw420&u@ICtT_Q+I?(1$X{l zX;72n#E7^bPC&#e?Pc9Co_^P4)g4P;C{Y-RJsZCE;IQv7yZew-AgpQ9CeyQ&KG(&u z{ocVTcUt-DXRp*;74(OI$#R|&eYuQ_}6Mov?N;9}k^Z0XP#^S7CY z3zhEcjUv$^DUOMM2AcK@$3A|%7I9QXuO_^(IY?Nz=(aghA=T)llSC7I6{MyIhysBA zkWT21Ye{F36SX}#+EY~I@8zI;;Uu5PFhUUO6n7e5p~z{KmXh&);mz1#j@|9( z0$U+89iRSuqQl8xX&1 zB`o4YW43WYD$sSo+!V4WPt~=TZ_txQN@Agd&%6X3ZxQP|eTovm!3Y_&Hlcp?Rg&t{ z$e~{%(KDKoty#leeS{23&NQwP#MvFkBNf23cyW>Z%octHBoM*PSQVl?HN|RrO~Dbj zcT;6;-6Rt^kpzi}aCa8t7Tci;C~-3J=GT*!xc4&xmOsikhvXHcBIdIXU^M% zg?6LL>b2`+?=?Ti&3mkZ9mSq~pYBp@`ceO41t$3|K=qQ4v63DT^D-)96|g{RG5$La z7@VXFUV?~Asxz`{)FLfEC_jF0R^o~%Z(f|@?FY=$HLlT?aQ9vD;nR)7XMoFK09I7y zi;SMM48i>AgYG<$>6sCY;~&5oEmvYIJsdQzZEsC_Sq=!SX!?1t{+N1zc3kV0>zMJkjnB;fdslyaHlOW)JvDJaHv+ z%zT`xpkHG6kVDK(m7p{Z-^oh_8TrxJN?37vgOpuW3#9>1EZpv zQRwQ57xK4LD_gh8l|Zx4-fSR+$BNBsJskDSI!=x6f26S0@n_6yTeX(U2)H;B|D}w! zDl0|vbuf z=1hKMQztYpj$i29lu|L``B*Y2a#UY+&_JxeJSmqZ-=!zv6BUgTNdF5Rv)DF*d$$8` zfYcqFu8h8Q#QwA+@Hj_CVTW}6JN?$BSZ}B`e~U4%4x#DxcHnvT+g`+}>OTI#zkv1B zX`ug1jW>M4Aq9zE+wLJa9zH!zF~51ZoSqRH!feI(o7w5q-7-kl?m_F)uxKdFt^|so zm;I@b5bwV9FKdTHOXWfw>ve39u+;!b|s=4pMA;G3#YC49^@JuqMmHV$7Kn(}b zA3S&dKULm;M~bD0+!1Gap(cmyX0aT3>IJv=idQlowKYd?*^JL7E9 z?kHyrUOm@f#3se+5z1$E{o5?LvFGrv4mvF;2Pnf&t^aZ9URBLA;v1jTVJp+Vb?EFa z7S3?=4$nDRJ)@?J87a5sB~aArZXQP`gmn)i>)}OBX=_>WSD$}XTD5B=GYOT2s!Sz}FSi9$?x7Ze=x9}b+o*GkmO9A?(edqx1$i2fTqP%Sz{n=cEpm#_~ zbWE(>m?8M!{c$G=SeDd8r$d48Ukt*3mo)}NX|1dg+wN2}84zl!PD%)K)~Bzzp8QXS zK-}q_np~`i$zArQs~7x@+M{p0Bhi9hJcgq_8e7S8r6WLzy+6~(b*+I`#MP(scmW(Z6?NYAy7Qf*GAYqCEy-_= zN_}$1-m$o2@o@?txFk8!6Lx8#{oa z#9`9W5kGOSL9c++MCRS%z27InX{|^w%@QGc6iySdJ~WpL4)YcU^n<1yPR8CH zZ_*LGnyZsVk*W-|L#X``#a-74m2b@47$_9ZKM(@mBN@bNtSha)hS}ZOl8H>6J-(YDBkr>urDqxGx1v zErkcC@!z`in-Tun;1nb&tAclQ#sWuhJBf%tqa3VQBim&&<2j#)!P z@^k&A;f`&`D>2OqNf|4Wm*R%~C?Wa8U0q$e&n(;41MJkE$O06)Y+2Z_X8ya*k_D{- zPlU*LXT!yMdlnzz%R2E`S4-xk%Fjs+GZNn|_r!&rVz?rU>ImljDDzLdKyN3C32$GZ za)M|HMJby&pxsnos(GCc`7Vk7TXk2*NdlfPRGdK^HG&fATe8hkhgcP@!lzyAZoQWu7-KniH(_8YL1}je80t<3$mk&SbLb~0 z7Jd^5*jDmzz{oUAE+4h{h`2t$cw`k~o?xA(-|}+0>_(iQ`*uX-`jio)_P8ji`rm{- zq8ZgHo_Y58*lgtSr6BCQoY5kE5__5kja*G(S{Gd(sOWCcHA^ltK4N^<>M-`BQzx2A z1*tW>qKp3KYUY3NxI!t?!?-@qszID(+)PvXbas^MCoss0mxG+!s!$wP#!RE+?KrHD ziAxw_^H~rhHH6K7W(w()_Y_!vJ|;~TBFXy7*gGy8KEV1cUx$n1e7a}(uSf(%)xbqy zxjN3j4ufZreP2d}RZ%of8qM83cCvXM{I1d;ylr#6<#$WkiK-ny!4Fh=M#IhRx(zK) zo>{0zRxpL+?AcCw{gif+led@}*ZfaC*P1itfYsiQFE6+z^@vv2ALbzarz(0tImt&G zo;eaBH_f}Ww46%)fdceA0l+kI>;?i@p1RY-=9cC`-<0VyMje&NH3PrtUY?C;=r(8e zBt1Emzj(H9&}7%o@De-4eamDwPL3%pNl`c?-d2&ao-`&4)qen0WzNTmI`53Nv;E(!v2ce27yFS@QiI`Q5laZ_WIc(%4$ope z)40o(*!%NV8c_J!3vkvvuaACP2Xwg8Wrv4cC`wR`V)+u^G(5ZH()R(nV0Aq;4Oynp z%LPFq$M2LDqAIaNBC)nkN58sWGX&e;NvW`rjT^7CjU=H94@iWzKj?azA^#HtyU>#O z;F86#D%<)8fQs&-+9!9y`}RjG3gM2&L4V#Re%xgK+8TQ%)nF4A#?9E)4R&GV7zJeD zy;+liopk5Rr9;<&FmiINnGA?Ak0&bs52BNJo_A)aMbLe^lX-02BwLf-43ikU?eBz~ zJGm{HpKz@2b`3vCLe<%%msgGHeIL%$K(ezUwY()_ua4%H+u|Hv#nPsXhIJP;e4oD^ znd+cHF2G((R#;7vJk&gskVpke*T|on=Y3ny7aRAg{3?j?dsF5ufbT-M8#)-hTRmR> zE6uRQAH@pjdf2oMZ6_34I@vPS_Wo?r=I5=GNfK(aME{I6N!t4m|71qH z0LA83R&-0`o&Y|qIG=6Azfye~j8N4`94YHB(r%rd{R2lnJsSf`O007DGYOBRsZo<2 zyE~cJ+3c%7GU;6jyw`tBc)>z6lYADx_Nw2U|Ch`&y2y6KzI!3SrtSIdN-Kqq@qS`{ zQVC_N87|yhIlwLu2_3hdIaE|w*)_FJ!%=kJnpw<+U1oJYoZBmfFDoN7;lhqf>-va& zp^W~1h8=}|A0YjE1@M$=8Z3P2eDz}V2%b+03)(G{m|G(pUrQb(dw8_Z2yBxN<*LP( zt`BGNa4`dk@7C0Xa6B;PImT_}7-QdHX0EboOb~#og8Ch;IsH>MSZ)}=OiO44Xwb}@ z789`VWbDc%?b17v1XK^c!ipqfs8plIYv7-?$4VFPjE2+uYnC-GPkgTsNldSq|=-ILu~rrK0xu`uOwWjDc% z%FOTWf$TV#3b|-DQhRtyASyUbwGOfMu_&Q(K0UjIi?g?g+uJlc!=TOo1?Sv)bEGR9 zBDuQ2Jh7bPTqK@CeD2l!50%|~zW3rOUOm$0*Fb9B7nyRPb$&S%rVnF5UGo!tN#rM# z#xwPh#s|0f8)v*sWcoGD7(MDyS*^~}22%y*toD7K5iXn`PX~4N5^5jT$*OWN ztnS`Ba9vIK5&fu&)iQf?LVhlR=)ZCPjLN`Ma$iVue(?Sl&g?^_1uJGXR-RNgSL8`8 z_={P2{9$qst*I}8Dy~xNWJftT{IP!@y-1%IeB7u%y4k4lpLOSg;$Cq&ZT1MRhd?~r zJ|-@8xW8(>btDCU;48w@Tuxf)m%3Y_olSKDmT*01MwG^^$9(-W82ljH(L-+y8VB$*Nv zXch%|0f?*Oj)r{QKDs5#EXDhzrk?sGrk*ShGl&xbWDMw1y+6yX#FF@cU8UZ%@ze=E zGT4XO{i~K8$UQACcO-aC1$K-h&()lOIw)vSObeI^_noE^mTG5XE{d3Oj{s*U*w>~h zn-1_Af%jxylLM{5asS~(Z55=Zbic{lRjJ{6;FG`XZ@evUe{&b~3anpn{`^Q8^n9^h zC-6zOU}Q0{zo11L1VUN*`zT#}aiRz8o!F&1fK9Q0K!>Z`PG_X2OrZN#z`EI*x*2go zL;#ZM9~AD$zkQ%9miHFm%I}+5u)T7A=w>O+_(CStt z>4}ADId)x-5GR47`jZc7`+vdMt;U~p3AFVp+9o85*mZig+qRz%O2vqjo(s9%0=DTp&I z3OoMtj|^*gS)KUWO3s!-w(#Wgp;$Vt_ZGS)nc=UI&hti2P{{u7-z`P2t(!NGOJfJi zdMC|?F)|`Jb6b9}fwSyPqYon{KjV{Vm;OUij|lJm^y=f9|FMaJbL%H+Q}p?>5P*J+ zrR+?oOmKwblU7!E8u>PY4|OOZm5K3jq#FT%oN`5vYsPl}-UaNt1&GP+J3lyWbl$av zPx5>A4KJjS?iU~91={W$Maano&?UVW=pz&Iq~~tqFdp^)#aO(??|p}|^4?702{ga& z8F)s%argndVq6G|-R{^8c(F262Nx|KJ3q+&1U=vXg`qg?6l>cG{mXM?8L!hM?mN0n zUdt9ATa7(hNsO~+Fx)$eTP#_;cy-@S@rXVU<>~k81D)0?x$rZ#Io=)xqafQxc}CJ; zZ_Nv#RnQ@WA+bF7WG@XzU(RLUIbIZ3#F4ms}No`yWW7>0?QxWfq_Xq)Jir7 zXYnN|;4XdnfIIqQwYwio&LdQ~*%Wn^kA0@yrS3ZYNF}KIEecWncX_Y=4(HZiK(~HN z{RXpZt!pLb4>d^)AzNO&oh?Xrt&3h92BmE#`fZJNH7z4zw@qDaJ zUjO>cmD;lcKqmI+PT_5d$;KD58@hahi|&0<`uS2bU~3EojjIAy_yCjVura6`P-#9Q zpKa)O&W(*X!JmCSik&#v1dF}>dY&rLnR@=-^}z5-?(%va%E-lI2w1y}zx9m3=SAbU zlMh(vv6KIsL=E$uDS=VYE6g4SOfRiN1pbFIjixX*Z)3+BPYcDO8$A3tgBc-{VTPPd z8)i_|Bth0Z{lUs&>c$!#J@TWGdGM2lR_y{K%mO+3xVj_{k{r;P#_}+v2>+;)N-T3y!r1v-bmm)_s*>TREH=(N-B z?7J!B#{_UgY}TQ7>V@k=;1)_?@$HyOEgQiOoY1QzoR>x&HPUImfZVuH zhlpzDt=vFIUO`RN%uw(BT}0y{N$WHRk3T|MppfRUM$tdKeg9I%O#sTcwv_ecJ>rAC zVU0^=T)jryYYpK85~%ILTf^2BE6K6iMibt%zV}k6p=&u}ZLm6B{E=T1ndILUf141p zgt7asoAmNa-E0zj6Y1ix^D(9g0*pUXr7rt+7ysG6N^T|lwTJBNqx-@8hE}^9sow#) zy<#(r!}F|Q$$8VE+ToxJiR!#HGI_ISV#6C-n#2MT7%xTr(T2a?%N1q-bi zME5EhCNCqvv`5LRliiD_lYY8oWrnXI=by*}(c9kDzc}kXW5pK&OM6EcOGgu-n?kL2 zJxKMr=_O;QwDPV|59}$@s*9>ofkA}iLO^wqUp2LZs0 z(Y_#!!g|EpZf95i|6@I%XY0{&iSpCLGvkfle}3jxc}FRpcg@T=M!#0x&T7@ke&l9r z*~Wt6A%U9s%YIxRTIF?9X;vs^|Lz2G-IP!uY?-^}f+S;Ri-*}XY4B5GC-wgyQT?o* zVl@(;t{i?p+oZDpKrnH04~loDbD2UzORA;YQhf9QaE%`kH^Dbnon#V;HuWJ{wi}g& z!xDpx94HhfJ#eDd&zQhXrp}r(M-yH3JEzxw6DZOG>Q4F9#k_`h_Z5KD<_(c)M+IS4 zxpQLnAbTy>vj77OR20V*?i)sOxFHs=gD^1nMF?de$EP<5mP%^wEB45a5Pc;Nm<#M* zQqD(0JHOXE%>uYBbL4i*vg<@ zchugB{2*XSf>)!y_Ziq(Tb{$54Cix-I(ar(Xc34IAf7Bql{*__e7pbQ z>+K>gQiLvLgFxUDJUv{Xz#XHo{PeC+LipO)pF4iz1p7LQo}?wKe`>(dNwih+G^Ctd zb{LJi(BT4eLz1@leR;r8vLtO!o_$sHTJ9HI#D=rB>h8 zOlzoV1N*pqOris~VHOv5dN=lv1A9C_^!M&NJ9^Ej9#rZ} zs@kL1Em>KEN7368yemG4PFc_VW8Pd2TAG>dYL6(qubsk(Hx5W)W#iq&TH@N|0#B$f z-D*#NmS>+8JYeh|Pu~Xqtaqe!Pnq0<&SQ0@w8I=n9s>8XNmCQj$q=5ij~Lx2a3n4; z^_$^L=&D@C6FD_{e26x;8mJr8fR8?66*^?SnI@cOlGn)K(JE97Fc5<|099JzT=^SSO_G)Q-LXQ}E`1md4hhpg0hNI^fm*qgNUAq7He@E_C;3o$2Dm@W8A9FcMl z=|mj1+|r>f5m`%78~AE91rwdjr?hZt@M>I_vPrP3w?|te-f!ry|1BjAz@Q~ zDMPHqa2lV!j@pFPy%8l+UAbJan`C$gO14nqa5OToZ^N<2qPy{EJaE@9A5ntepP1ea zp04~^Wov6%j zl?;u=!}u9dNrz@1Nm9~{T}^96uzW%b22tgs@tECLPHfUv9-$p|acOH3hrm{ZbWV9f zhAvgNlsgXwucrUD#%0mJJZzO{6Tc-?&M=YlCCwn5rx9Q>bsg11FN z`H48;n;9d(PX>Ie$MX3Q6bNbyyFJWR6aS2Jkojy87a{2G^6d zemhiXAL%JK2Z8fKDvHqZOm-5vzp&JIpm8gEV8E#o4RP_hcSF&l>>qNZRPAl5;tl4fV_jRe=3>7q zkBkHc=QXa^N~+KMru{-?oEscK0an&*>mMEyUe%B$D-TpxFE`gMsqlPh zJM?V-*R!Ld06r#eb@FU=bH#Pzin|mgdY_D6sfQ1w{D5`sdrJfc2HuPeaXD{%&n<%M z7OHej7yErOMmgDd%k#uhcXSSx^Zio=Q+UE>r6aQ$xv0bVjSo~FK2a6D2I6d`GdoQ4 zQb>uflu9sH|B0=TULgt6#!0_X!*mODQ=+Go&irx&O#SS9;z*Xh8f&7W+b0Y2j8U91 zv|nlwkAC{#^f2l4N0VGiy@{){-{xjIa+8y$q2_BK8L->GVCxSvVP^6`ZrjFKN{ptx zuehxJgp-zE3Lb}HEtI1W`*rH z6arNHK<=eH^- z3XZCh##MQRzD5>8?*S;>~oGtw)&0 zo8`Qj@6Md%p)wG=yLUg?H%*AuystP?AHu1akTbrq2QI=&0$h5>t-l!I&C%}Q?6Wo= zW%i5!^eT5h3nI6JVushdsAst!j8b`ge3Rj;xVN-&uTl{B0ftu;z@yaPnE16tHMnUp zV%M}UVn-DNd@&taJ-o2cbp2hYSPMymeGDx?brkrJ8U9uM<4ek2m%Z1LmCFWEgeb=g zDg@wCgkRg=Fp(_NT+uf-eVrrC)px7u|Dx@!gW_tsy-^~B;1(cwfFMBw1lQnBaEIW| z1b0gU4DRmk?oNX1;DZnDgS&o1p1kjoI_K7RZ`JLZKX&b558b_`*ZRrYkuDU9l=hVm z+u537Yy)ic>9im2r&A|^y;6C3g{R^dZH(k~M7=j4PEjGvncA)+hv`h$MY%NNn(ob- zqJ()wFu=HQXehbH-pop&XXEY38}x@j^x!YaP4g}srREHupIl^5ZNO&+>CL#n{a#m1 zbe{C|r$Ak|Z{tkNv!tkjP0JRNO$F^?=y$8rsYGEE?!)?L*ODt@Ub|?5z&*jmHoX-`T$R77Kl#&tmUX{MJ3gSQgXKY`d z8_<0LMhgH@xy|Qbz6pS8{;o_8iKD2;Y86x3KKrprjqcspJ5bwF&&hoF!@|80WS=;s zGoR-cKYkI-4<*nY9WCvWvv9Fyn`v$;_}j4sJumAmM1u2B+s5w%DconprE3p;lM+KssFtH;`~t^P>w5F@DD~^+ zh08K*l^n0m&=C7^UCvHPCI==li0k)21uv@W3+eg!copOHNMf8B&)#}%)JaV`RH#94 zSyZk%L$%hX!{$};`>FMstNLjE4wcA&?&!I&*nSCW)wA}nTWgrlGF>A6zPDtaS<^Nd z$M6S#{U-xppR-i`k2b>t(tCFLB6l7RW{9x~#Iu#rV;v`IG^2BbVq_BqGry&hkt`Z; zaUB^+r?u&PZq8hHZ4w@dh}mm*@=7w-m_*w6)9A`TI1HHDm^>avFI&$k;bt`5Pm(pK zp$GL_rY|J7aVc3_u^o0LJX$iC1OiV}uWk=6lt}kZ4e3&L$2qn}OcAvn-hOlQk7X7X z;b#?32dp_?Ot#q9-&}J^c#PmySt{$bI1~7?^t>I{>@m z0eamcDKZvoo64IVbXlA2C<5hJ>}IN*{zw$|?98j(d&=;{!BV8bT_zN-k{R^~sxR8+ zz~Ukz8XV5rT9eGWb}(~X zC{U^~R$!7;SSu)k4F~Hb+KW<|ba9bMb9tr7kfBoj`&A`6WMkrF$t%pD;15kqDFYS? zzbjOAom53ca)t+*oGFfXyAup~vK7ybu1^dxk5m2G9JHNQhURLBNO`as4{ru$lznGo z;7(82aK>`A`&5`$oeWDX-;g?~TCE;3Tr(<4i_C>|^%4CT)x`B&QLq>z@PC8+Os}*h zE|1!|jh6DDFe+zwfjAi6!Nuf4x_*wrzJ)m~IUH7cNSP+Kp7I^6@5+L}Qo+D@*|bQu z_HQznt=#gZrd@Hr@mP^4Dlv~xgHU2ujGMMz6g_(_4H)dOF~u7{aV7+jL{D-!_UrbXs9%I9;-38`#9^u6X% zE6I><8bmJWuI zI@R3HJEd*wS5a|orb*d~6n>mYkv3@va4;$5{q|SmOcg7`Nl@N&%}^fWQ1x#fIf;+E z76iF}JCFY%E|Orf?t-aA_?u-g>7lB9cWQ?4RiQ*q%^(sUjB` zUv7fL8`l8Fj63bZ55!5IvRqBy2@FCILkY@Hx?4LD!NLq@20->YSc(-FLtGS1F@?L3 zD9PgnrNG;?{r8H!3s*N<*S$(9mdv{eo$>kJ(1uGZLKPETw>o~F{hK7h5hd!$Ppe8! zGF|(&m|`4a_tjZph{88R)WN0@-MQM38L2U}Q&RNjy1Jj$Xgn7-4Wr{snv1NMWIp0x z*bYplyIDhMz8!!EI1O(beTcxG0WCPWXr+^QDkijFT|{juw%4jl!@bOPU8#Lxff7Oh zkK85Gt#6rRFtXP=`$Ra#Kc*-baoC-qhB;ML&d^45`R-1^W^r?TOF9;Gp{uyvg=<|p zFd|U2Jx>TQx2Pelv9;By{(XVkC{??nXX#whxhy6JNk!Eqw*ScfLE7ZpuU)cnKK!VZ zCqcq1xV@I0Kiji4$xlCh;jA=MiWm_nVWvB;Ar{Uu)Evqkg<56jO#Zc0Cf2C2n*ex>PFI3JqpXQ_~ z&|i;?zsezQC2P$Ir8Bknw=3^PvQBCF9?sN8a`dCVqJ@WEF16MWfpv$YzH^Xb?S&>M zBF*8-`MK>#v(2TAg-i~h5-^h~u=cV&mfOLWl)WXZ#rq*xSSb4aeFA7W{0_^NLu;EW zBXH{Y3V1}<9NWI8jdM&oLM3 zcr5V6Zd_5erOK}RLQ-cv#4V?W><=b2d-MF*v}VVS?UoA`)3m@~uQTIO2tiLn+t{N0 zPGj78+g+JC>q_+^^2mX^QH2%6ZpVnn)}sp~AhI-!>xO2|Qynh@-1<|QPg#KX{j(NY z?}YgDE>baUag_JhC!W zRS<(p44^gN(m-d+KGw-;?~@pJsx-eU+lJK;(;x5D`8>Z{3u$W>KWxs&h3R6wA9>oglLM%5`N-p5cErtMGXCJ97%6XT++b3e&eG(yD>YJ@ zAkA{*>O

9#tLDsDS}r{U#gWpdE9vOv~dDw`VT@1DS6nzRfh7-!Z$*jCkMct?LP_g*sh1^(*GX+bN3c}#h{=tT ztB44%sG$AwAxk8|$Y0`rta4}-%s1lsI2x_LLLbiI?HP1Jea2KGx(9+}Nz z(tv|PxHEj-dcq7-in+Nq*qmFcEE{$-smp2&{fYAP{lbhOby&G4g0mB`oC{KqYI_w-7YKG4c0(#Xvl zoU%bHd&Kl}Vto@LrK%G3TdtYcuC&z5gk_-u>2B1UE{E14uSQw~xKP;|ZCMnqhM=tJ zSB8(gZHMbi!l`5J<+(AvQas+ux8YqhjlT}*lrYn+nFVWD7M8W?nPr1;)KT8REa`A? zz*4w35p0J?m+YNKl3>P^#`+r+Oiaj-$=yJ>np4!9X-W9$krxYo&Yf6w_c3nmY2cr@ zj%wwAw2zxNQxRHpLco>aWN-fEb>4{$2KF*w(EN!z6hy_-!fWz-?((vvd0F{q;2H{P zHn{kL<$1X)g;U|BygELi)4ItFrh&Rbw4`VKMf=plWc2sZQ0d^#fZAuzw!(FR>fXLU zpkAljI;d3*m1)=G{e6MwC}ZalqS{DW+wHtLxj{(EB5wH}TcZuU_1wFPWA(=DAI%BC zPhhH2LN4&ZCO}opxNHEMJ$*h~Wj=dQ>(k~yZRgf{VR86iy3Q=viy~5$w7lhfdoaxD z(gr&6jhLszcwV1Dl1_lvZG!rg2vA9 z)_g}OO8(^B^E|Uou{;KGl!Zyz z7+i;QLE=KuQvWCo4tWj$o7dMH`QlDu>Q5gv%U{FXA^ItaoFbeg{=BZo=Pot7kr)HCT40+&i>fxnA8uY5Wql5Ib^#i#`Vk4@u z1d{~{S(YJPtMjMP_utpn&czrRay?cx&Uc-ddv4P$&E+S1()iiWhg?y{F5`QhxT6`q z)j7rencaO-CJ##TV{Ud(Y#?Yn_Y{=|Mpb>Kn^aSWBvzq9aVPJU#pUS7zR!Z)fbXqGmT;tWD9Y1zuDmo(@5Wkq4 z315WRC#ssy8=V?u-fvGk`a^fxG)#>9kM>$DI-Az!xnJ%>ubb6PG)yn-_${^FeU7f; z(oCigY<};75lV4cJ<{|qBYnMh?BAGRv(LE`)K{X6!nQm8t;YNe#>Ni^&xL2%SJF-L#_Aw62tdK5)|OK zt{jh^N_*@kD3N4dr~YxtJWE{h0&!5^o@XY#4?D|@R4fG}GMe+&@}b$`y$Dp#S1c7Ay?%qgEsF?Jr<5HKn;;^_JK699_>R=?)JzNzK)L#l_ z+!u2X|L%m7a;JM0R*eLutH;%B4!N6=`K`ROs@Xp{7r^~!@end@%sbmi&DC51Q^^zz zkG@Ky+F+ucJIdY4>UBEv4)x7FZaqq`q9c29^}&6a&5*}XeoNrGT(yiUkHVXH9>T`3 z804s~ke{R79F^;}F^z>c|BEzPG9sj0Wlt`AzLJAdT(3lznjOkf)Hcjx_VMSy1%mqk zxQ3Lg|3P41aQWDg8a?O9ISVeq}kh`Phs{0t`I z8QMU*UuS_N+Tn`e>yoEK-~?65w8#)MvX&83G@E&9#e`azJGJA->}fK;1kB5 z+YOd_`M5|tjpC{KaQMTZs()HXvhZI+63i_>tlxs;@|lvD_Pq5M@JW9KYk$B7tltPs zKRW(uTw?tBKmYR`92@`!G5y{4;ZUo*d8ty#kGHM;Vv4pi8>Xg#HNkV`l^(4^DaVig z8bDe~<#d$rs(+4{!oGRgI&Z-$CIBy}@n@G$5$fs7)^J)%uSx_#jRKn`)laCdFn>B6 z9BrG_8?6<)?C7$Ek)f}~Y0&*e8GLKaec#jHWuDd+74ViV4P6m-jJzUyqwUc$$`*RB z_)M1@?!ex0#tDjaW`EKp=Dq2RX4!|O#uT1N1e*vop5q^cSz7_i>zAZN!R4p6YKFs| zeV$vfbHAaYRcSwTERc&OVij>b4UJtE+vE?Z(N4~*zkab=V$jk3lWU209sSJW)|DeB zkUl{!qGIEtr#~+o=^Gxbi){5#t1;2{jr+8>wP`#MQ~eUk7ghL)_fh-n>+B@tS%i>Yuew~>!ylsb-i$&N$QnzJmSNK!pQFQ80jh&h6Lvu8ih zHyST@6L*q0hC2UMShC&0I&>9bV_bN|^W0L5#P(GzErm{ETA7Tz8t=pH?~q6Lh>}vF z*!#1qq$W->9~g%e%3KF#v9p)NUaaPaA1dh;0QZaH?M)19TT+d+SA!T=f0I2WGv z%^I}!Tc(iVN&Gj7t~)zHy`N1@y)Mrrn^^ex;8hdoAw`?tR+>k*HFlf*^z{E2oi+Gq6jT5HH-Dh?YS!@pDZ zHlNkdQn(oP4ZD5aabL000&m&ImsC?z9ekR1vj&Fm{u;$az`K@Bkbht0RMG0h%2Myd z`T>7D-KxJxOD*m=ih`x8N0*fV8`B*7AasT%u`0Vd-*&7w_GHV=@nYq0#Hu;FaA%9B z+*M)KIIWeFXHtKb2*Dn=dnZP?6Y5V*%VxH+hO7i>q zaH^Y^BUWr)Ywsb9MnDKrC0p%EO?k?2BAD*;zcRt@>GxQ3oUZVsdLDes2mmrn%uaUV zz4_Uebp^Y^kYP!Up8lu#30il*;YuX?B3@5m5X&R6aH1`UPZW0GQe8JmLqxr)o)(5I}Z zE5+6wq;%q?2NOL4jv5~+Sk~daU3Yg(am9*4HmX-OulafR7w^CL9Np!+dwG7XA8qtn z{6wr(b*pnpPRnt*2GiR8M?dCJCk#aB_t1Il?im+Cz-jkEFbeV1kbF44F`5=Ib76~G1>=0L8orz^=F_2gw ztr@F3O>6ueVV?h-_@un&Xn*w@^ET9bCa7bexuZjY|yFho5%p5$SqL2yNKRVF{rrk6YUfy|gtW?b^C* zH%>17Tda#$*7my%o@x8PiWZfgTR&8RUf*lEor#b>9Fz*<_9k-dv^kf3G@2`XfyizM z)uaDav_Q8?m4B&e0!6=b32(GakNdG#F=y$Q3?TGem;lu5-{T?+k3T5ET*v*Pma+N! z%_KKVG%ov_$aR$95Qw8euxI>Mx?N-B80gi_p=JL3G~3bL_R4+lJPcv03b zGZGD$2iMYXqjm8$W8A1^I}s9TtXhO5QoFT$%33rk^yzgw$G#)VWpVsn{k zABI!%3V7#${AYFSQeBe65lYO!n@1kBFPo#T=U?39n%U@2@7?h1Nkkgdmi)S495Gc; zcy1kaAb-$$YCrFT80Z!m;6q7X8%-qiFD<}oqIz}F%hEL9Tm2ul(}e7K{mIh}4WhTl z#=PX@-4u;^6$II84}A6zJ(5LGI0tsD24<;@Qtmbu$Z{UUf`dFm zQhd9ii_D85854iq`>K*lQsi|>%jvpWNCpD@8*O4|mX1fTIDPLZv>F3yZ1m zijSf(jeKugd|bwAVxn57%`vSWAvw9&g~A@>o?TvZdU>)zEzP8GSZ9#amBLH(19H>P zj7c8mUUvWm%eT$@T5k90InXb$J|(U|2SR0QQ(U7f7IvKEi$lu~8+9KG)XKo7 zUm%{>vFZiUri3Lg;ni{^G%ol6H~dP^FqumlV`;>s>BE7*mB`Q5?^f}(>?^sLjKsTO zDp^>oj79RRH6x26l^Yz>l2Rup_q6LukSv@qiK#8h9n4!y)%swGe#}!-2L&=|4;1W6 zXnT6;3W)s=S*Q^;;;9+DP-Rfz*9H^#@D@OQn%f--jEdU&ve}N zg@pDF5ECzSix>el&c$ytzPpXAp(5ljShqap1aC;ws>fz64xH{{Xm$EE6Be)R9#g3P z{K;u6A`+Fv>V2?y14?CeX6MBCN-ntL8nB@_=e$^)tGVZJ|9b&o)wSg?N=bR6@R^Wah-U4z1dw5nUfSiZeX1H(AKiF_85Ty zS+ub!(tHr}1&1ScF1!xk7jar;4EYF1XwV9H^?(m_lg-newiqYVS;Y;{P{m5d z-!I{@<#6hBSm3qpv5?!ikTa8zbpbU{AicOqiRDE?<8EgV|J0(E3nTN_ZIJBbJb;*1 z-H)y@f{ASWqi!8vq`4ID>{iwhJ=@tnxV`}^5F+^}h5HmMc-#7Qh{NZzlcLt{%Z zy|#S%)}WQ0P3yMmHI{RuK1m*@^kXy2UGB+9=i?<$E_{|%4iQQI12Vdq`bqK(^WE`U zHJleZR(d$n%XWhe9VsV?6MUQ(Rq*7CNam2`n{arvSIw6;OwML;?Uj8-9wLx6&@jT;aQLgDOn+MwY z`}9TN)Ln11+8(Wx#@E!|ddDkI0EqvVA0j@ydlcL)`ZloKS>ONANQsu8c3C?g zJ$-fnu^MJlLe^U2zrtuOF;pO{CE?ALO;vGkxY!`nn`2w_a_C!r zf$T7B>h@Y9yCF)oITi<<68GuuC^8=|wM)sB?Sux@LWY(WzxjssRyd_<9cbGC2#&+4 zHr?bww?h6v^?9-v&gV2mAEtJvtT!Tuv*RcE>Sx4me#;N?Hvd}8AL5$+C7sDpQ6}tG zx@TZC;GoSx|IK1cmN%oct83s=> zt*uXdLi1ZZ<+sxKI!ug5;zWM~X}Ko z?NxN{-il%VD=`_5F6V4baw}f9!S6IU-HC6e^=ivnE&vNtmQ=?h^I#%QO^(_n%n`$R z27fg3TV>94R%4Fzz>&C$Bz0YTV4XCVArcCx$D+?Av zzPn81oMNaIm^^Pguyw?jcRG+9VYEsh|7gKlj(`srGkg5&IdUTL7oc0|J2OspC)W@J;)iP9-CggSXMo6bb_uAq8Tle6&zX zzrqYjS#)G+&mva4(<*Btre&N`?S!vUCie?1GKnlsDfsP=W?}Y#OB1#r{$cd;jB^;# z#{r+twARz0WjP(MKA+VGgBQM~acAaXrpMf{To#AVdsvN+TdBoD{SfoMi7rTgL(tr*Ftjnk(TgOSg*nnT|`G*#b^DMa;$H! z%e)+#nbG#=TYvs$UH^Bg2SlMIrrwM{l(?Zrpit2vY#_Lw_g(4{Mwfkjnx72Cf;i9b zE~|Nlo|{AF_uc}RvnPc|H)SQfSuIC{o$;G;d^}EvyK<1yedik~^yE@sR;Ckf*^^Y( z{Hd5U#YCM>bG_O$);$Wn(<%$u-4bG+1j+Ws4I5wxI8LFrAcpPdeop#Bh(P`E?)^eh z9Q;c*C^tJsY~Ov;Q(7mhzb*5`f2n{bT_if_>_>P8*n@y{gs}%NAWmO%XYhAP9x#gv z0Po+83rK4(9d0{vVPcxwp?TYX6@26q=D(%ZKE6ZDlhG2ejc?)O*R7m%#IqME~B?@7D zo1%-n@6NbKhd(!7jixo2=uOdSP!xrfs#V$CW6u^%M46I#iu(mj9cC+OE7A zzT|DS+8+HKL0tLWzqBD|j@YYW?Ii0EbpMHAeeUdpxWnx_UAX=eR z;;Y5PVdomvVcx9~C$?~I0cgS`BmY6#fZg5QtAX>ay2Y`{v(tO8Djn2|4X!QK#TkLJ zL$CZY7`x!meat#i2&)!ORdF6KU{TK z+H(MJddbJ4UI%D&s7n2Ka#!Nb>y2+*)aJN57=W6b9u)q%y{b8UtJEG%&tqg)kz^zl z(}`AdGzbWk79egZZG+UoE4r!=8P@vFm=2nD*PeK~%rxI-!mV7(U*6qx*ksz@_t@JA z5X_DU-`N1vyd(%@)%ZJ{-{0szgPn$Vbti`oLDUtz;LmFQ>GnDZMCK|*ED-R+a_9NyLv&VGK4eJDwxgkQ@)AJU_Men~A5n8hHC#mGC zH1&UXG(Xv_s~Yg$LJc|_Bn$KH6{u<=ej8gN%ZYKP*2?IP8wiq_IrCm}8<9)D?r=GQ zl#1Neg>ph2zqTw+S2G|8l#^vAslgw3|6as{bydP|3=ZFfRi z9s5=}PpK9!M{xXQcpTsTGAZFZq~zKfEO`3C8n zbc^%hX*A@#pVxoaQJ`j$hV$zwd0?9RG0U1Wymc|y`Vq^vZ+$WNAXL3zBuHwS5O~%I z%Bl*93#p&Ctuxl6G6?Y0k$!eus@)Dz9Q^et^U%rsVC3euo@tXjnJ8vM=+qoh(39Yr z)hkUgCmV<4QlcjC3#35sBcLYM^)6TWM*!cU9>o)Cc!>Rq`z0JKW!VyyzAYoH8&DF= zK}@c)+2#$`vb3DC_#0_f^p|7XCKVVW1;#g)@V4gt4-|qNDrM}6hMqd{Y8qu(Q_Nr~ z3`!}M;`hE!TbLGC#W`qwB7HE;1A%9OTQyLV#Mc^i*AWCgVdI4q%$twZThm@g4h;&oo5oG3}g_Xe$$V?%1z};#Dmx>QUDXH#YFCcB%2>?r&QV zNS+$Ru8t@r>cd%@VqNidrYP<%-`gtHq3n80S8P)?>n%eiEWPpAZDsLYNledc3|@e_>)HT7a4(%US*In zt+TY3;Kj}p%d+=c-QS_nE$qx3Y^MSO{S&@R=}@<;N>eBj0y#^AnAry&zke-lNi1HW zePR9-!tebngy%Ja84e44IBmQh&8I=zQw)H0=OR@s;ArS96*Ohfsj|p1m@w9{F z5ZMq^t5ijNcNxN4pZiwT$hX>yUD^@GbW~F+G~oYZdc`!)nXnMs{=ir=#79HbY`v9+50B2WWJL8zDa{UhC~A z@l5weKloS-nB-FL#--s|hkJ)OR*SCVdp?`qrI-{0h8_G$^LB-E>z@+IQUSFGtM602 zYqpqDjQ3Or`QRlmX=pb82BP$v&$HQu30;yt;(VMxvQ&3X%GtlV_ifp)_MFN)h}P6? zEEwhP(>*0P>xaGhCd^CaYwlGrJ)EFu*-y1Z%g>V;ygN#+6!bVB%gG0y#V9BvLRE`y zxRjyTVykdAMB_wD2YWmR#LJR?mW97174S(NaE>0H{?Z@q)Mfi=-4>*b6L6p#&rC7* zi?oT{fLrpTU83IZjB|y_bzzNGrPzFjNraW%*X z`a}e=&w6ZPvT|YOFp)>W^D=O~yqr@cAE%R-LnU$^c5vlU>#bSs{R6qwA&bGo=_)U{Hlr$_Mbj>#ybH@BXdt4P?rb)g6Sk9 z* zZPF_QF5*fH!UA?AeR{2@8Gs|tT1YnSwZdX-=guf+3kSBLa7{wJD?8iVW_NFXdiLIX zzoF+^$~DzsoglQUCQ-l9<(!{BcU_>|+Yb!n8LrbMT+Md-SkwJW)C+qPJFtYPE3vD!-$2MP~xdFkC}>wxG(FJT9d3Jgd?2yDbhP!2gJgOR%LyZUCgR zXNW1Y7I*%*pv{(sd91>KBP2ookf)N0u*+DaX(lzirc}$ZhS5cs{dCX$Jfm1N@o+GO z-|Mhajui(&#K`k6066CQ#e)_2z9YrD(C)`Y|F8FTrKZ?Q{t$RxDaB;ui5m@K z{e+!tBHDA^$pg>IoIv^C)@q7=Hk8cFJbkWEJXg-JQ^+^+f03OezQDTzx-bxT zt;s!{NQ0sqNzPQOwa($glDYT~Cy0q3gXmV-TETnR`~MFA{C~teL3_>SV}osRgDxT> zLE?6y449ZZvEHDC%Xsn2)3srd!@MRf>*9g#`3mJ{C5h081AmQE`PAutYq2vsHWS_0 z>opj-8JS^*D8EVnk?2bi%HxAQuVy(M#@}r6T16okm;8FAhNw07CyZF877s~`;kFjj zDAsJUnpGe?wY5blBBEUUYbb8(`GcxVr9PXaCLde3N<=Q)?8sbXzr% z|MMd=y&|*wt5{D3*Ti;D(jB&`{93M^!6iAoMx(9$^@GO+TNc*!gPp2Oy4dVIj69k*Y$n|DLk@M z?FoyR=p{oh)5%2X`1{n)_n_$thRexU#&Tu^8r*R-&& zMM|I%zRhlLrsyosck532HpirQ)3mHkSHI!@UxHK*1K)3+v9EZ^n=XFB{4yd93Rg-` zb-cI9GqT-cyGAvTFsZ&Udb}`stj)UZ`LV3}EY|Uj)|%$yZQ0VO4`lJ`IV;3TYhFsq z@k(EZ|M1axa;)qeL;$qio-)6snNWLq;9?Ti?tk_8iKk!~fE1e+qN8I9k46j~da&Fj zt9bt93lR{w*6?`6D->8H1z~JRmLOW-6iiZ^ClpU;#W~6;>cLZiA78I!%&g))quuxX z1?Cg9RcTxtKp^!;0ujI-FFw11@g((z04cdjTc)>v*Y<*K)ddS7N9nSl2<^WGNTAC(m?-trX`{~$ z?oj4DxODqO=u%v)kBi)&`pwj_-R`KmVggdq!Dedvj?F^b-wfA9IveA+0869@pL$3tT$n!CUP$fO*iRa_i&v&qCAy^ z27k(ZUM((YsO8Mc(`n|MjUy#ruE4yD+PDyZeTtc*?Lqb7_|2AP2>0gE#*QA(0V;I5 zPHY3Me0x0lfTwQe!W9)7O>N09U{^C1@t}%=gaVt_cO=hZMH8)6q}n%}B*W3soKp_u zJ;*&(6=4O&D$h^A%-Ap$G8+y>`SXtU|3F+^kbn+9a`xQ!2L|>Ghy3+O+CDk&&Q=`?bhAw_=ag#M4ai<*i&G2xGS5Hi1i<16}2zJeA%bTdwI!%fy=wNg6dl2eI!hrE7a*aG65q4YU4sMaBgRbjiHoH9S!F!W?!p;!ty z7hOlP1&~Rn=evqHy46rkX?RnK)kETInx|DOf z^oQ$2dp{FWyG0fN8-TLd##^=ANiCa!!D-ARpjxq3yh{4CU<5pzZmDLE>i-H)6ci#J z8;v~ge3m;Ps2o=C{l5t)X)UAgj-)musivL2Y%xp>!_!{-vGr0xYZqBXR7I3zP1aq_IIOWUSr4L^APz_4~1VS?TjrZf$Uushm(-Cf3HPB!X zice4mQM+-R$KaxJJaBD5TU-J~zC-*gJlumyZAj@+t|u5(YXip8|CH6>a7gPdt*y1F zjWRlv9+=dcSqDdR{#`Lt+cpWF6Nbm~a5n@8O4wVEH$~b*Z5~XF&sMo%w3XEH%rp7- zpW={2$CLO=jRpbcs4DEj6>QoK&6NaYBmxGaeUe(yaTKp?cB!P0o7^(w#$LB2oEM(B zr4`Q-gv#hOG$O4cp13zL-)SziZn?gz0puUc?eA@3z)rx`^1yYG>MBD#WMTXp1)Gvu zHM?HA3!5K!R7<@9ZTt<~0KQ4VW;|epQ5n9-v8$SNDR+x0fL}|=e!?i3fY*BRU;_KQ zOdBV_{I02avIuJ&_3nngTp3zcr80PKONf5K`QcqERq|nlOTNZbsc@CtGwc1{gTqFd z;u`C!6`uN1?8}Qg9s24LR(CGawM*4pLMU%rsjy&WGNFdDAZ6RqMLW*A?{KunI;^)o zMaAn{Wi^}P(#a${;8m=_3FgqGGCkwp?cvJLeZVOHciC@!W&6Kal}~n|kJtd_W> zvMnri&Jk{x?pGOelZ{W*gdP2z^NPMWFG10MD5IBst9$wh6**Qdi9-|H*x9Q;_n(Tc z2B4ArkO@KiI!dbjJa0diz#u&e zLU4F=*^m|x702=!5RE@J+DcpB|2;bmFJ|q}e)Q=x@;~A%8bVUZBe&SHvj$>!CA%|G z>A#DK?zsLdUmTx-OZ5_S0lO%^@h313=AF|D6oe&~ZW^2+N$X!mVln@`*%|gl!AX$| z%ojS65Zv)sKPDKf7HdfN+WOCNFk+y&M;PnxHe3ty|AP9Xoxc1mN$Ldm^`F1=8Gx_E zae4L%?$7cBV-6U$|GxF@{{y4&pY><~FqNA!UePuogmic+_FQ4OT=A4OsLbMbS zRdNef-}|W@S-_YILB~vK*pnT+J$NG#jf;0DVd&;r%B? zP4lM?8ne%RWt_gj%|fPX7P9}=tbD`NhgEd{r!GM0?(jpIM)ptS>WAYyB2-c zhJ9Gl4*Fvxn9%!XF7|C&jqhe!F*VVZ%2e)>Ulk<^|EX^oH-gPLa0RL;lD9wD=js-l z^2HDPED6CR5Cez}ctj3rh%+$Gpsd`e)+IX)C9bIwzB_B|w-Z%Wu38ez0S&jbQqa4~ zfQa{!z#a*AGE8>XY4^SOepL7UMc<04HvcLKm6-tfi%6aHB8txijWgtV%dG_lmFd5_ zmt54RGz27CGpEdy47(Aqjj6hK0FpOs(~W;p_*mnv3u1P5#{f$18k@nuTb_3HaLaa zEqClRI>-Xs<4-9^{3vzsqkl^|l$Sqpp@aGn=0w#*cM zddR_k`p2KEO7Ua;-cN^OclK2;B_ z&L6B5cs-#-u$1Ai$K6zfEoo!CLUE?kag^ef{}Ub8>qNmOYO5$%!G zz84$?v%NN`#weK7;US@=(3!p%vLF1^@kUhpbfyI>cE1hRphSE!AH)BlX*#t0o#@~W zf5LQvV8{}xylP%P-NZ!fP9j0-XTrvducw;`YDCg4#CGbJTM{y@cU8Wjl-_lm@C-?$qoK>oEST4w&tZ^G{m5iMV?0T&DDmwD zQuBBM!NLIm^g`1!m3mBUBD zaGpORFO}U+>Rv|XB~KYqk@wX^U>aA$2H2c5yC`vWOfd@3MkU|u@e|DSBrsKLTwLZ5 zwrddL5l637qJ)p}b0u`uom&|URH%kUFR8caW!eaIdh30WpM+&1e9Co}ko+M@xONuUB~uC3xi zdxK@3gRw9Ru}SsDG-W?gkc)^#y6+;*?j> zSxNoneIJQoN&jI`M~~q~7#ipWzWplw z+Sg`DO%70%Zb7{HEe72y7FG%!8{OILal1y4a-*B?#24|UZuiR#qdp)6gYvo|Kt>`5 zG&qHy*pKn$Po50t(tOVM9)|PMTK!2iIZ#n8)+z_OjiDXwSJlr0qw%7RXZ z&e|q)u0{M!ofT&{8SA!TFUo^8mFKW^fLu_tKUAd4mI&*4#>uEC{mm)st*>`DyK5*r z&CS9hpYx&)yPIvc1&2IFC;d8%y62Fvr`aN;Js^flTY`(-OGehy{eqd{4kx%lS9hL& zyV$kw28EuO3Lw_t;~F;dBLz#oBvOYL0&k{5{&x}GE~cu?l%wa@QvKU(`l6qsn| zwPS|DF5n3;{iQmlE+U8%#BJ+C>EJ7Mq`v zmq+1WL_H~D+gjZ>`~)h$CGeH|v_U#oMg@`IoVk<`st4;0+@6%d;`hrLusf9zv%5e_IRn*RPq- z5M`szvU_*ExVku8Z{GL+&aVG-ux+hdUCu2JAY1(~KAmcRwQ8>#fAZ}hq(W)zyQ)ae zfcoDeAKAYGTAN9cFw!0!K;`KBxZGd>@76_jB$W4~$wydU=kwibJS-=2ksz!S-{%9D zT&VwZU5Hg@*LPPRY269qf-X+Nodh?YidiU!m*ZwiJ{~)1f$Gdi-Rvw{ZEoX%!c>R4 z4uCEp$D0j|-i|d=jYWQ!)3o_YXsDdioRh6>2kDElbL}X0{eaYuWZVmFWw}0As$~#B z;l{GJKTty1aEP~1CZpL|5@J)O++o;ygXk4D{+2hVbZCt!mwLZC~}w6;~)Gd@OG*t;aU z(e1gCQ{vk7dbY^!oeOFC=-Yq|fjqL`bQ^9K?+{_dELIX532gZO?P@|&Cr>-NmiXl7 z9gHg58J~1C?r>9e*`w(SW93x*-G0w})#+RzC&51%f6MdX`IF|Qyr6g|+NMXR?UG)U zscb$&Zhn(0O7X|tu3=8 zbtVf3qTHltMUm1zdq$>5iIdskPKh#`1Jdfz~_((ucEtMBWecdhY1*5;Nxy?uh72Pqt*EkREC zK-9^N4Anf%tcN2bIa>pvt~iJBxf;m+t#6z1RXX8FFDvt39l%#=1j~-dL~KHa*v$by zD{)}&GAl?@E&F&6>KN6N-w1}4R}y5gy5sRbyl|L=i+FR#cYJ3ZUx%Hbb`!uWjnytY zx^g53%6tCYNA6)u=3Bvx?GC!Mb^_a6TEkbpqs+khapb|J=m?qKOdZvP7O=YP!`4vv zRxK{TEUDsb=I2y7QZi~tcooheKQ8V&N8QGLM-JQ5vz9R-K*Rct^Mrpj_Ng6JGocc zU_Vpc+(6>gAGZnkZ*tP-aP0TC{YX$!18#{>KI9&`t|lHu{Z0%@V#}ihd(H8=NvFjW zPdI*~AVm@nMIM4mG ziX+>%+wrgCygZL~53ofK9?g&?BB+)Q=l8~Qh4Sou#JvFwqC(@oI>+L|7r^u0^tZHM zm75WNV#YCXBK;rAzA~zgWm|Uv!7T&{F2UX1A-KD{yDnUV1$Xxl+}$k%cXtQ`cXxR! z+1dM?d+)jLjW-5^AFD@qS5;Tdn)CaX4!B z6KGDxXeve;Okv5QYOb|ueyBzBI)KE=JdfeEYNC@R_xn}4TSnXM=#%^qv#wLcItTna zK;MlatBnuojezDF8lDjVV4`4MGPTOiR0Cw@19U~4&w01?$Yr-RF|OVCMrAj$E*=(6 zyKG6giNcRX-04{%K>~(U1$_yu-#^6#-$E%WY>|zvQ0=vvUbPT1>p%eprq`84BbMCR zrjgnmD99{iwL)sl+P*S4i)E?LQ+;L5Rt(GA(d|&|vb^6N2%nC0djoJA#q`OKwA%`T zOeTQ3W8GD2guT)+LOtM1j_GnIvjEcycstfUnx~A-Zf!E3JcDfO&WV)8;S%2PUHcX!*PM0(Yjoz z{DI4Hv+b8u+k7ZW3w_3VPV$R`X<~^rr(;S$3<=*exuC(`d`UeYSTAS#2PukDjKlt9 zNL7H}g!M*q&EqN^IjS7Va5^_5UvsGDkSBWjq8iXL9Y}0OfmiFlipK2QVXr{Pf_P*x z{x|?Ec$+`K>2d^ThbZAstK^`Z;CcG+eVPsD7MnEdS-rr(EngB-OArQhTB{JuEL_9b z*&>^jSg(xT&26f|DEPC@vL{a3fo0o!@E`jKw%>|5%Gy-?5+_e@nd#n#n|Y~Pi)3M` z(2#NP5l7ThvoUj~`QWdRR@dC3GSfa{S*R>xld`r2j5-s)yTzl;VAM)Rya;TM)*FNr zHci=oOE@eOPODfI0ST)>Uqe-=!+f2J5W45WhG=I*O|ba!IQZQ`96dsKk!fcl6;cU{ z-RfzzZMSYYFgFbrW2-v+HpJMu^>#s1Ou?(@at_cQtMIeFWZ!9&;c5p1Uv@n2T4wlD zl%$218|-`{9+nq-|F@G$tm0yN5=v+~dbaD#`S|g&I!{dXVlFL4cu@C$jY0h<7J{YM z+}>xCLwtfY2Zy}}coMl~Pylb|>sH4~aoQwQ#nDoBt3|^jgDN{+rg8O75mzOd0!eD|13KBw+1Whn&`P^Yde z8F1F!3o5k#8beE^K(Rh1d{-@#bBfw2pN{D-j4{i-S<6o|tz2CSP#i zBJ46hM<&@6o%)9nGj$AxFxRcRx7P(!`!T9ay@bY7clggSOWF379J75tQBpX-e*0lH zBR&%EDo2FmeXxJxfxb25@2^dyY(-&|jp2J=r%p#1+VQYCk(6%bntkY^=6IMCE#*#K z!y;AoX4DmrXNy#X)bSIgJ`-Q%G;}wsp?n<+X*N{R-GPom98IE{t!>`ip70K)on!zxoE&OUu`d zoLFCOrWCGnFPFx09xF~0_WawjJ0%_559k8wH%CpbDRW{_a3sL8IqeHAe8+~-mUoPZ zJf4rK1_8nB#tQnDFaScj*{^PFeKOc>YC8;77Y_fL(NJ9U_owye_rbE=f@EpdSyIqy z$u};e-t!OZAgLSBDj5X!$;UMw%I+icvaGeaCbx6kQ3;E(S`WXDOm1TJ9kVtcD&pHp z58BMjpB`I1ZDy>_e{|IM=}UvLy`)TnGB|Jj=Gcy!Maq2r3O7T!jFnUoS`N_Nk{C%( zS?V~n6)p_s=gazL{Zl`XTnAWmxgww(;J;dlboBBfH08C`ln`qdC9BWk-oH0Upcff@ z{?K$mas-9Ai)YSJdElIJ6FYsXHpGii=Cv6Wpz+IagFanJWFIXpoDMoLGxPY}1bIlqRZcW}X>r;i{9p91%lAF+5*%HR%+DJ(k5RFv8ceQ3bN7(n8Dz-Hhgr)4p^aJWXyUCfoiH z9rRR}sa*O5A6*@xVRJ`l-MtgBT?yM=#0D}uEd`RsRf6*N7hYd38xr$EH<7li11c4JD|`9MiB7H#7l$%sNx>_L zfes)u!TA~^rQh z5C+-q^qbzFt+$uh>#>#MO`TMIJ_Q8XV1zPJx+o@m)g~)#L4)@!06;f;TIbZV|6?XW ze+dLN6l>t~FIVult^I7A(wvgsoZ?FZt2UiiTF|eyMA-YD#gf?kDiV2UqPwjWB5U=u zcqsYJMaGpm^*FCmok^vhU5ev{@=yOvC&dqjWd-+O5Po#x(QY~XB?cPUco=|~1dLrz ze8@hg8MNO{=dU*%h){ZA*V5N~yqWw+W7L}K?cBt1?!bF?UXhFzU0OJ9bf^Jj=uD?j zRm~O+h|~yup^hjA9bNmYnKKb2%$GN#;Dch*_QxYoSCbotrn45TcR7i&Yj|s?T*4V? z&r>azK&N+1rBXd4{wzmJ?#FI62sJt5LBE3o&@*D-^eqszXWC)QO)mH8nP8rgI+nTg zlXBC%1cg(tPwSl0=#*$8mfrFa%~-c0c7+H>B^4Tg2{K_}stdk?{nLw*36_lptf?}@ z9f*=@Y$O?>8Vw%4@X`6p3yd;13Zps(`IYgZ_`_6&y*jPbui?;#HrOLdSJ`&@DUiR% z8}#phg3DsL`eZkiFd2i1=Kb;f#v!ZLfe%yHC6~xhXx~Ztyd~2Y#^j0QZ=f~%*G;)C zp{FQsZ=V88tfMu&?Z!%~y7J~Bkw>VmM8rqH{~9FFNaemFfC!ksHWtv2uXsMFf37_Q z519^%%ocC>fy(x(1phrK6OBO|0SEd&U~^l*I${6340Qj)DMQ1jKQjbmFV;8M|9B@v zftJx>zOX^rRk^Q#f4$2xk*c2_#*ipjZDRME-9LBcI!bPjbM!GhX#cYr5CyJvpTRw7 z-^u)Wd{ILv>Pcl-^|>!z=3HlDC7;4PvKoHphN@#b#}vJ&)Mf=aue8D*H#WOfS@|eH z!^LoKkS7-0kMLg9Ll+NQ-4Sdne?F@H0~bfb6e)jmFndBT>^?ie_O>Fp8Uef*tx!^? z+Z9}CcxN#i=zOb@Va808OumOvABv@OX+xB(A%f&3q~$9ZW$^OmDav zijlQzhXE!Ie>>V*$Ddj;2*PjCHV@%%+4ga(cP;07E*rtqpKG`Lm7fk?GG2M*lEDC( z9vp4MSMw{PJZu=USK0cVzv5ZYXOu6GLCaFVIL+!;$@9xH_ujH_S$>(S~lwoK))aaBZV~pg5d~^&!r8aAhN3#CMw+&V&~5#K-sYnup&% z1te7TE~J)casWujMhbFUK~-MrUL#oR%!jCa-f|jpTlJQAy!4R(Mp~TP4l6?ge5oE$ zGCl6<*0V=?3%PF@JSs22Tyz9kL;htz1Y%vbj@W7&T9JXL=$_;>rDdogA6^dfs)v zNcG(8>5|04b%UIJd-Jy^4F>S~$@Z1!IIL_{nieVkpo1^x&Shaz-EXRmR*SQx%Va*t z9%9iS##g>@-xM&)Su9g#lSn2aVvVcnwiuVc0sJ@^ zy(?8a)Oj>JvU7I+N~Y9a8$>>=p%GD znu>Y68tS?N#)`We!@SSTk0cxD#y6>F-_MSXFw}K$z($~C8nSIqzu#Y}Xm4ZV+b~Sr z8pF#Ozn_HCh6DJGxv?1v%|%c9GgU47RK+(A80VaxN7_IXE-4(m8=x2#j;Ja^dLsK{ zWt8D54r6U-=J56>O~${IiyjY~jSx}9&AMqqr;omuH7R+_X&{l~Kb^;K^+0TW_zHAV z8x8BXTF?%7(iJOFe$hVnrONPsLnNWecRZAeh7B;U=HM3VW;RjOGeArb@wIEbgD3KI z5|IQHpRJt6x?Yt>Xb4!EV>|j8ESdM5enRZA<3U$J`6fRqP0gKN!q4aM?EkN+=|5Gk z>9%q(s%QIN6GA{ABt#9LWv7{Wy@SbQ!;{sktoqUZ(*_B+3z~`Ls;klCktrvg`{cQ8 zA4lwO?0n6G@gE1@SAqegb#Ag^MiDvpF2XZNC@y7bZ!4=NJX}tXo0*RmfwO~nJ@1#b zb&ZF7nUxw2d_9yJJQqSlNQd|V6p4}}FoDXA37hTjhyq=40%OwFb9ZQguGwsOXCC8V$ zx)A>|s9$|BACh{=)O~J6>m|D@13L_5edZGV{x!Ourn02sWb1tK7QG-3{{J z40r2xv?Y1=;m5!rS$JQU;l3AWatv4iTuTw=n=~|q9=&0yN<2>yXM5{>DR4g)>fMvo zGUMjpymSpCc+=kI_(T)osgCRrR)oqQxFdh>Jo2l`wz{)X3O zI|D;}M8fyat$PAew=zhkr97gm;porFI^X}<8;O*YXG3A&DS|ABbl6x9-e=O$>nUQp z8a)V}v^*+pRx}Rk65ywm+zpIN8QCIeXyW2>QaO9~la_+htsU96a9j6v=6~x?F374~ z1k&!gKmF*ArjO=PC-LP!w2ZU(*Ek0r@ByQ%-hxk??2>^_#~ksj{f&CievXiCM>%il zniz?CjXe$AqIcz^G~ZnwPosKFXR6A=`yQsAs!?XaY$jo(g)~bh3o1JImlohHZdM{b zCA9(t_4YEk6GH#rb}N@lw%n6Cr?M2uS>HW;iVHhNi|N1Jk;TWu@LaS$_ z1}L+*OAQCaRHItAo@H89YF0Wqr7xL0F6S>X5w^GZT89*2$iOx19Cjv4FZ5Ux06-tp z!wDX_aCh>dz=T&VVe0PeT}66@UrgtMx9tqJ!edO9+9RfgqRQz;KQrRLrj|Tjp${q) zCCRPJy7xk^M(K{od`)*(m*0}YV~GbUe^B_K^p>)=@D1T+V2%_ zO~Jpklsy`E{B1YAA#2*cZSjyUD-TNt+}Xi`woGsM11?17@w0hSMCiz;<=E~Si|yK0 z+QzA#fSU9vPt~VlI;2=QLK;t(74EVYSr88YHEK3Z%4b-3tU*KVLxI1U9Y3@6guRx@ z%BQAXWRcZl+l2CIM```74-mFNE2R>1D1aPgs&h+W7`q@CqPFE9t5Dux_m@HGD?XGr zZJ4-={+HSBiewlS#MT?l=c`N*7Si7H%1RN%xrv| zVm9{|rYqVInW`tv#XAl6&wrNvmEIB|Y4M_~U19H*d=jlSS4#~)KbT*ftZ$6-PYWNi z(Q1pC926@ztaxy9 zT*3n6leV&8hOH)-$0Br|;f5Jl+a5VM&S3#DyZN}BD#pvh#mzVA8DB^p=Hu}TD6a4# z(^=={f~SVkZSjBX6^Q7&K^7}md=L-Lii{0Oj@?fOSM2RY9@-4NN{_y9r1US14$4yn zHbz^7^+tuL@qYDScoZLvb&VrK#&1HRWbA1;JXd#os8y}b%ITyh{?Q`hFpomofh z^=(SXvsz<@gOR~cg;q68DOV-vNlW{euPYLOHo{Bfl@vdDVncMmB2X5R1w^v zV*$P&FwIW(Z2q9;7VU3pyd6#eatu51kXFjA9|KZDNlX{(2G&BLM=gdP&X`Kbu$1Yz zH;%PnW;og|1eHZH?8%J{9QkDAc{)7#E6?%n!vY^m4Ufzo&anVfTYKmVJJZlsltb}g zfVWCCxQPve3ZlA-HtwncznmR51r?qb&6;r%@j7)7r7y&&SM z&Q!=^C394w0UH0>aTjbND+HQgJ@LD?n#D8$i@tLCq`Kp)b@sqqq3M22wY~SSDtnPc zVX*7_&YJ51POS%7xxKp1+^nOX@XkBItRL%PO>OoL%XvVw6Hqo!(J1 zME<%hGs#FcOCy)Sg%tk9Ub3Vv4nl8 zl;$0qk}edJp5$%jx{)9nuD4Ulo2DE$w8h7rF;RyosYt|-*a#(kJ#nX*y|k&X3b8-D zSRfCgnfJFbyF#lvQ@z+v0ULHNf>=J?2#*WKmLSl68v6cBZ(I(+)z+-BclWSzCc;IQsQh~l~4c)XXgeq{;=JiI5ur~bT+Rj>H5^*00S~FU( zwJo#Q$+|10=(AzZ0ymdrI{W5G1Q*}Mjgtrzqe%^0J^h2~hbVVS$C{DTq!XjXNGe!X ze5o!Jtq&1^NvnTZcI^)|iKL6D7=!}@h}&F>SZOUfnT)fw|A@vm|2B`g7KC5T&VOg- z9hLiB0d4dpsxN~Zt(k~Ic(U&@dK;h5BYUj7M%3uoEa3~uZXaoB)pR7h?@BzQ;{f08 zr}A{T5$C#OI+->W?U=G&DeBkck4vDc330C}@ zsVb}8`k(cT1HWjZU!k5djzQo^ks)LEIhH}0&bbk4R6#bht3+8D6liAol{0%aj4mlS zOs7A4o2u6e_%7e91sAknTc%M;EA6U0E`e60fQRR|g51kdf->fk2YqqX@cY&FflzZ z*yom__9fFrcG@H?NCD>?d0?7NBbYo@sZ<~MCu1fMq)hrZ)}d@9X#rNAlR}n8piC^0 zrLj1?AxB2>I_+it8uGps+I6GvsEMO)S`oY9jlVKCTZWxyP$MHieNo$PRqXLI6PAVQZmXSBZ0csE+gy)hTXf7vI;{zN228 z7J_UX##P^GIU)DZl#QeHmk9*k1~_QdHMR%02lvjK1rh8^7Abq(?P%b2T_525FE}c7SRkrUO+&yF6F|rJEbyfOqJV1?y-*)Z;km zgV&*3n}0$M!BeU9HN*UIbNox8=s6w7d}iJVSHpCN zT$t#(pd1p57dw}r!_o}d@_dKkgLZ~T(O#Dr>Kiea9~16_zLk;V?9OmV9@E_-L~xfn zhrZ#jmp$kF-11af(lad)UX{;kw_ZIX#dc!l59Iknyx({4ZiA|+zG@mThUSuAeza?W zh{xvQebm5V5KK#Sy0ulU(e9ZXEvtsCZObB~Z+9WNNtK5ch@o+TU_ZQ(X&O5EJ`pd% zrGo=xrY%jP49D-sZ$}<|J3`l9Hp&(=IT^Z6q<^J{y1Vx?SIT~H>`^F7%m3T3M)GmVILTVy9W@fE#u851OSNh$yLPk+VZgKTST>I*W)QQIcQ?Ks#o$}mOE1dehC;*kUiY4n27Qh zVbg`jv~2NP7q@)G)I&kU?$!pG>j7!Tz; zln3n*FP2$m6M6>z8fkJ)z^9nT>sJFSg*QZ~cY5T^kpI!8yruL|Pq{0J;#Ug780Df7w9|owc zgKrmX@R~^gF}Sx1y0to~fQy%>(N$!$&xK&i3sXlo0SkulJ6`fzZEG6(M#KYrfrT-UP_VLG4G{reoYhu9 zN0jR?%C`q3hAPFwHCY1))mv8DGUecw?r&@9PUVEK)W?ww?<+=V4$Sir0XYdUq>zwx zEY!45TpNs<;fMh6fXT?|hFPlnn`zPHyl#vSKSpKIoEgMG~0(FSx0qzadAEJ{S= zHC0hFzD|D#%a^HZhl;yYGo`!h*Y{;C`RzsG$7GRHn&;+pd|p`w)>l5K142G9`fIsy@J7%1GP9Jk@U;aV7En`A zGb41o7Ia&I=I>N%JR73s4=)=uP;^mPAd`2>Cu`nR``x{q6CEmtf|ADMj01EDyC8u@ zM-&1;5s1ao$`}t$$iWj_l%pP%jQIzJ(26Z_Mf+A4)6wsuGn&}knn+6-*~<7#=(_q$ z$+x+8gTImMZ`8*^So-L0F%n4x>O*zDgW;L#96@rx5^R`otL?o*;c_MxR~ej!TKrj< z|TOJ!xn5mVEfAQ8(LdBvOY zO&ANI02mN(8ch3F2UY5R#DBuTV?#uOKdH-nQ* zCKCcDwBCYVeDXilDdN^5L?xz8u(0u`TQDN7^rJ9Q9l6uiozYM#Dh1v=4P8aZ^D>zP z@7w7_)bH@yQNQqO57wTM9M{rnew1-<@PM zE2f;*cyg=Ws9!nPBB1un?S8cROoX+1!rL&XfJSi8#wuWEJmXewY3gHw>7mBE5*SQz z>(G*;;Is|_ss(hl#Nv}Tow?X@BJdw0>US2ew@_y%u8<1u75lb#!)GLYCaOL7Su%y?jg~eCzjjnRe55U5W4bd zb>Zh?R@vQARQ33~?Qct>%MpUM)=pZvvnA2Ke6d>8*Y7QvVGqnCR(#mRU%egO>c=jPj05xkO?u5y@i;2~OF=cpd}V`{ zCs!wLNzxNnx0Bx=H&Ho9wZl@3`_8YhQ5(IG=BH_Y<4l z>S;TEasTYSrJ@$_{gCh3k<~c=vf}F2BtIHdoo{e|QaC<}6>;;pW3^Z6$t%Vz&}5;> zL?-3zK2@>ju*Q%k@8%L(YyW!JCuA3eD6pO`Fs9`fyneCD3_UK?-flg!d0$a8Z#L>G z4SX!G`k&FW9zeteb$I1*JRF<4@;gcZ*LahIqie>D*6A!n(sgv-)uGD1@-m-HJ&feA z<)?UO&5zY}gRQCYIegc;?w)PeRTX7Xg_z6Czb!+NZV=Q=g-U+My>_K0)*sgiUDS+- zLldkAS6XA;Yq|tSD;MF|l@}8g$g;DNJAf#N6~>n3OLKcR$%vn$Wq+ii&@WumFpQYC zeE#CfK;JIoWf6~rp>5)fJ?cXD$P_liQt-W)siox8IZ&$RVEz5U6vYJa!W0ox1w_v( zpArP!ZHd5y=TfaJoUfL*=HM1Er=n&l-*Quy+D8Fg=?Z z6!zg($vcBTWDG&!G`$WHzapms;T`WdWEvvg`H+b-+6@aR|3 zLhOZy+wP6%jgtA*;TwxYd0^ABSkTEVVvir!Dt0~8taM{=my90JXVL$Pl8g0CYFs}5 zCX2K8`wIg#JS!ccolzOU&k0lg2%VqshmKw&=WYKLEf&b!@d&W6%*%jJ>uGL%Jp{(x=L& z9;Q;+S_ag%KpN?>LfEi=*VA3r4q`opjc@T}VwInnvia1d6tWNiem`(V_4bdu%HOkR zq%}?+2v|ebX#)BA*bQ4=3$g<*-ZAzpc0T7~c~}FI>cg2)lyJ?9BmfNeI!_$%!pB?FbFVja@>l9TN4Wwl?`S4o%m(0$jF-hB-I_&-Wc4T&9b`q@0eP%Z^jNMNWTf>hU;EwaX_iHq4_1?J<=g zz+{LP#$DETYh$ZGErQkw|n{0bi9My#MpUITAszCT5S@Ag7F(~ zQ6Zt{W1^I_l9-QbnZl_Z>ql}$uV}ruV>6kX^4@T!cF{sdVK`_(B19qT!;Q^Cxm9;MACeHs$(g! zDw1~8mj5#?o5dKk$k<^fBpcf&Z2(1NGo!JEgZ);K!WoTsCbs01@ZdQigp9lL^Rp(GD$wt(A*Plv}ZIrDe=VxKy zLGn}r;C@GloN-{#7scU#!<`t`!-gjB;fU*7=YnfVp4su!s%S5{#o?E56@aJ)3>j08g4#A9wkd<-%D>XOAOKa-K(`JZ;af|$uwu>+cy-o z%MyjfwL#0__1v=Ytvig*iV!zmwXYgEnBK~&-Lf2c7&GopeGlm5#bYr3IT{fwe8c(P zdNlzA&YelZZ8Dof>aM5+ix6BZ0~74bYjQsbN!cz(T|@&8sV2JV0B$h`~@XdyQ6d{`0dW6dEi*ASNe< z0wA7M%~UN8CZA5QBL9#j>Y<&KUqRL%+Y@W$xK%Tf4!8c6mM!4oXjm6LuPSpz*=WDI zqb>)SlS~HboPSilJ(NW?Pg|NnJ%=Zdgn`P#ktv2kgSVQy{1{n9SQ_pP0K6>|&5LZb z9mZ&}(p#lGyi7M;5CzD8R@}nnX1mnkQq&(-#zh~z$}COENqVf_O`uxY;ID^B(0G;Q zF5GA8{Z@pyfhsiGunZ!)XGx9z5=#}sS0~!3eLHlihsvV@?Tj&&ik0r947xd+*CVGu zBJXmmJDcFz5xgg-@gsFa2zL8ydY)J|#|b?dQcnVW-+7J=tGGqS7SmCCV&qNL2=&RB zL`))AtZ!t33TZMamsZ{+sGG+INvA0d?VB4?#b1b+kAr7&ASfgLi!P$O-11rUyc@ET z%bSi$Z(n5$-P7g`0}jigPfNR!Oy|vqJ6V}@(RJ^P34629OHC&#kZCs98>QvR$Pzp3 z#M)NHCeZx`oK{%ztZKe^Q$O74-h4NA`{p+wXWfyN+^F9xjFlJUzv-izr9B=fShzYw zqQj#O*lZj;>y~FXq*gz^yC{;Bf%=rSz+{^>6agLy+QGb4O>#Mio_F%vv?YHW7;O}Z z0Q_jU&-c((-}@>Zv!6Dt>wOt$!*!A{U2M(EaZFSYq((QXJcar6WsT+Yk5!M7bklEw z8OjEzk_K0oXK0rdbCl#2pN;K&$1$!j_07I1_MU}tY`m0U;=ImJT0CprAD|vMLwe0N z8(G?Tsa_E!CF#i-r01=NykmiQsRi~`Nt9G>e^Dx?Z{9(OMTY=w~*;HZCy&7|>x&Pp2Jo}zawt0!n z&?d4juB)ok0Xl^4LwN}smC|CDhrevJ1A z04Af26LzH>i6v*Jc8ES?j_0<^4J$u#?c?Q_{&1~8KzkJ*xh#^WXRAG^}M)L zt?2G)kvW%5bwX31vf7_Vsswv%uo8eOprbI0ob(~@@WC9$`PKVwQf6;=%1Y?WihVm6fRPuZpU!NgmG(w)}X0n#Ay zq^H*1YUT09*;CX}>X|1r_gFRI3Jtn+n_{Wi1N=!y%0n|fUv6#$_J#`<>SL~qr`tH# z+rjM&!+_x0q^3swqQw=)J*hPEe=>0x_%DZs+y=5(wck^>9Fz|=8}fRPe^iq!HfZCs zbyQ&tVh>$yA>B%(t>sAO>ELOZ$k}LvK-`@*&O6(9IA@j%tWInpP@(k9n9(ORXT^ln zOv=RcV3NBsP@)!+q1!J4a>NJo*E>e#lZ@#I>?Jsi_ULtwhHzeF*P$t=qB2%Z z=k_PO=JE;Xab;MKUrpU#W~u$f+&p4D zH|P&P+S6CMvI07I8D{EK9lm_FufAd5yz}*p`&UNK8sp(A>IZTQJ#$lqAQTUnDAg_o zXr;M%dKi$>7E`Mj-cml!is@|~b_6)BJJ5HG#~@pxAsCc&9ycCOZCs5bhp3)rbZTbP zk7k>J(T6u@HSR^N11F%_WT*Q*03W|yTHM0r+fK}7QhL8FTX6$%WBk@^Moh+!9upmw z#6`2{M;g~wY=cs%x45!nD;iUyhj<8-Z7Xku#PcaW7PIt!Qt6=z|8KIr@)E0gY2Doy z(VkCu($jh5H*h+}<;IT*-s5tX*h-tqSctPS%%F-6`A`6R)eFn|L_aG94{gceCDlb zNHNc7n9$(l!LeNzIi*jbDVY{i4SdJN3L}T|(0u8q5_3PO+2pyhj_oSgq;(JKzXp!m z@}k%UwBx9TE96lAX6iffpxRg-!q%rYe+($(l~Dq6cH3bt;L@{YT>K2H;dFb?Qp8m6zj_mp*(O2;;T>&fjl(fp5q85dzjj#J3lbD9n2Qb%bkj>P z{R0*{Xy9}VS&#t$DFtJtH;FQ8xo-6?QmwTBfzA~V>nTtWHMWc;Po==^JDreUcbp*i zE`KOE!i<_;=kk=JhzI`4^l7&Ay5gDnhMkK`vDPKqtA-q&-j{=WBxJe_ATC+Ki!$xP z<6tP1lvUrLdOn}Yy<-(=5yBs!& zj@@oI{*%kgb6GKaqUqtHAkBJWst8_r9T*_tUGCQ$za-QAAU$#(QLF0Qw5XLYN30>a z>l*lwfZsZ?-xy*4a#~98yny4&&b)$eD*R1>>>nOq2cJl%mLm%-kL~}RZA&{z5f^m1KanRXJ+DJz)1l8e zSmW+0V&e}rVJWh7Ns%lT@9mC%e#s4&bndfZW}h-Cd0k!2Sv$GMQogoNfLZY6EPq|xI9`=Bhcw}@nxB&(oBZ?;EW zJM;%l2*3XTsB7Kiax>h$q(fB#O~A!ZWtUjY{V(ekS)vctLcg`FIcc2vg+$= zoCbRsHmwpfr}QbV0MKLjblzn6Kx$ zhx!{AK9S~lf+OoVL14`x3%Sk;JhI`y!Yex{u2h4#dCtFgZO(nrN2C*P|HlcfuMZ@3!KOO`#gHzNS#Cq#M)C%%5ZJ!A*IaBA9hyn8rRYyE{LqsVPS zyQ$%qtHyzjNvc>?HB*5tQ|5`j%Yr6S_Wf}Q{|m7{5C<0KDTUP}0oNw19F79s7eiy< zI$uBE$}*zqwegrKbzlM{FI7SGXl80kAwWLNZiKg!Oh*tLKv6r6Z?~VFQdw4LrVN6y zX<`BqFR18Tbq+;JX$3UzH{~VK3x<6gXA3)CWs@>nYc4+*BK#%^KnpPY;6@8X$JBBT z-gM>Zp6~#cF3*1aY}KWBf6GpTC^7o1u}(<)0@o=qT_z?6hv%gMDHhNA(Ch^JZ>iHI z2wXGSbUD|}$iw~PHNilL!V(`6dvij0e_m)Wv+zF%^MUB!fGj;o&a{LYn@YBE&5PMo zK`HfP;yV-jj(hBfLrGl50qt= zqd5S7Ecuft6!W0C>O-CIpVdEHeGty@QSDR*ZsKz;&4NzcYp+N<`Hi9UHdEc7wDM+s zR?osTztbFSq~KY)R$(gso$_*>UsH{4(w!Ls?Q|@LXuIfQFBJnThp^6P>OY%@_+Znj zpe5Vkk!i!T9#>qtn$meSxIO=2c+%quyRoHHmAip$7xv*I+vrdyaf9;@4@UI+nV(`v zXtR?HHm91CIYq*I7dhdwnB&2N-Q}jAY!#YqJQvUXx*HI3=;>N=nSEK)i!|UHbXoPP zdn@SdqsV{bSRmg}ujElCMz!>$e^*rccKs?|UiX73$73Tui*PhzSB;AUnPC_NA}cI_ ztTUXz%%I4d`dJPcSE&-5dO=c6>`z5e)d!V!*fRZL?Nx)oKl!VHGw^wQxW>GopRL;HibIIs693h*n6#3|>coe) z>fJ?>IzfMMH&A=MOWtYSyOj60;5l>^{Zc0@lM|fineeI$|H|&O3;|JkXWg&a)Vg-H zvj1<*BdS*4s8&jb9K=7W$COymV-8^cL`FkmkFLRqHNE?PVC)S!q z`rK}-ez_}PM!`3cdeIbyOtU`wSOsJ4G4D=D^=btuK)p9|2?$xQ=iODd?7 zSsFL=bwQ@Lgqx)DWIXkjgiJUgo_J^wWqV6vI}XGVe`w&R92=yRaKEw^ER+$JO_)ej z+W8D(K=Wx#dnR{Aqsw_xZ}z4FERNZnUpW~;>A5*hx?`||TlO#jlbgk#w9lxQi6#y( zi_%hQ#8|FUilgV>lU^Y{;64s$U5?GBTQLH*5Bm#**U9*c(GL z9%gB(zzL(59m~H92K>gOVK{(dpxQrEUr?Q*Z;rjqe{6X&lAbD3i!7!GAl=;`6P#%= z^>mn@uYptQeunX;PRIhzMRhuf000-sS_FLcn+%t_&m3pDD}{?k7P3(9zlq{gC8=tD z=+6)?Qe|L(pP?=RpY0s}@=KeDuQgs0aKHMoy5@le+j>M2G*y8$+qqVZE~Zw6jr7PL zu>>4f#N0<+Ouz>oFWFuB<>enBD#H>0`Er%W_w6Jcjx**saDcsg`T!oer#)6%HMN~u z*4S}qq^hKXpewG1L(7Vh)$%8DMzs(c;$%IX`B1ecv-9U7>7^xQXXjoc!y>iECT79^ z76d1;IwE?>WXgqCH?Vy_wAD$cqcm1BZsrqOL#rE+gYhl z@S-PlkbO~Q+0Fo=M3cvN;&X}TA#8&!?6AJSpljxiHo?7R7RW2jhN`<`g|?zvYK^xZ zB5zp&;vLU4*UHuek6Fb1P<)-ywUfzxnm!5|#Um3%l;>cJs(?g_|Bp;ekby3_2+ixr zXjbFX-fA#jZRKx(LsHIuryp_rg%SPrpTWvu8qG#FtiFhRuM#7QHSfrGyLE$P#Zmwq;_mK{v zTgT0huM9uy^UYk?_MEMYMZXW=buOJd(_|o~bTQPtdKc#AuXlpr7dg)CcvR1}Ll=px z;Gc5=E?sl@)qeE)L)p58-eec4B-8TdhFXCN#7R1TSbVkY)-~oOi`^ryoM&z zZty(L&v=(qJoVz4)J7fEeCVIBV#q1Hx+y|V(r+MAmSSl5f^T`Y%4#y#IX!04B3;d3 zDSQ_wUwh03kTglOP#1`ihJyN?jf-w0=p7I9zNe`@pHu5)&wB2sTA}ALm^=m0Gh8W) zf-7jx5lgu=muqEMC1oj(DY}j|8mL`EM zko!wDC8T6(lQ@_hnGIGU_m812EnQ)b{u5Wn4RF5?CjE0s+*~{z)}>MdC(+9spO;^| zEr}6hv=3Vu2UyS6zyC3y5iFF^!}It)oh zFNu;6oe({G8$=srkm$X{sDqI_7-n?FC{x~p=lcG9Kiuc8$7Rh1sa#C#47O&eMS?z20lOquWg_9y=<+Vi2xi|Y z&Obh5=g4nc7GrDKwD{~jQ=s#CZEGR3SXs{q)w@eO*yMUAD*R8vfaa78*V`mP{^Hz( zya*!F%YY6v?2VD(q%#}Mm&zj_%{aXbTkfLy%WQw7x+S!<`!8b-qT{5#d}8t+BQWDb z1M0)OOf?K;iX{#NlU$jCO?$)CJ9#g0&&-iFQZ*G;GUj|l#B^j-A}@Py$%T!V!WRDh zN3rLrVVA~gn3*ya+SoIEd)Rs9S;Qc-F)iM#N~poKa@>7>A?&9bM%XTXr^cZ{rOVIU z-;8dj>5qzri<<24t5xh=RS3)i1LgH8a@1{-5e`@_run0~tMxT4K9)x-)*`9>>x5x2 z;ZYn61dq#vxc};jeH|)9drgTpGneK%{>WK_;umgFL?2;QaR;IOV%gAq_u9oeAp<4a z##tTCv?2>lU)VYErFh;t!^fbR{((cICw7C<>Qz$f`;Ua2DWQ$ti%zIRdz|Y>K`%nj zjmCXTSkdw_g)|XWLn#(DUHzGEW22KMDo5BPT1{x_4%ACSe<4{`dYhRz6Hg4B%96dueG%^e{&)NL ze~5!M+>C^y(G?eTlzQg1(S?t>!T}rcnVmubyxn+|&DYj5AT#njSGSSm{kBDUhxY(^ zJ6Iak;XzY!u}AeN)|f*1y8J9NlHg?2^$GunMtM$tzjMD{J*9JX&-_Vz6#G~h`EfyE zpOXWIQagGdT=?x1RIKv4pXYMxkA*vD^(8?HPVJ8s`vJ7Fz_W33w@ral_$^(6dWPHP zq>TgJKwYDoh2mU_72YhvbEi97h2c;~>z1!Ho@m3z8NA^dDzz2t-rsF2Ck6@}mv+fI z2Ug5W`Db0C;~eOR#cNH)wIyI>l$<(>agsoBk$1hrE(r?&*B1G*T{~ov0r>WMP7rA3 zvfSDM(?e>p9HQY;4b`m{URd`V`gDdX(^@4z=>pM zqhh*1-h+yN3#_XpV&$y1o{zz9rhrixltqNYq zTJ90^zT-)%TzuIUxp(X8YazA+Uq#A8$o8OahS}Bqxw+5l`5dYRuUe)taMzg}2NRx# zQ^v%BC+Kj#or+suto%hHRy;@QTU$LsLNMVP#kf^tvTNcah%*AbK_)tCLf+LAUu-v# z1*TY1zrG;*;#77X@?`s*Ku`|f+=Yopm<#r??NxYE=Vi}Fni^88({knp7S>1H`dH^4 zxC)yv?RA8`^Z9C+R2Um_NokutUb{=kNDcq(RK&b^B@<@0cFa@E<}&oLSm9PnJ}(#K zH+IfA{VFo12vMrRalKT3ird!1%P-?)iInvikAtn+kmf0FuG@{Na6YGWs9kM_kiXNb zSO_-Q(^Y$R*~H=3H$?HK@Y1ivE(C|^i(yNBuJ=r!K>AzF<_nP3J>%6erjj`sdv>&k z(&w364!Ci8@AL|3QkgpP(xdp71U)MZ2G%i!PNklk9SMUo04^~W=DA(nFQ#{PN7sr` zeQ>lm_>#MAXCZ+`VumYtVV2Jc$Zfy%3T|p3M~Gs}NM|i%QbN^i#EGV6;9B&qWRrGx zSJ=lUbfgZdL7?Z_JB+&WG~#1w@5&2T;!y1`RA?8MD@961!yJMuGK9?UzjBSPSs}0q zw;)o&?MC5XDKJ5Mt$Dv=@(~?#Mz0jy**)+J6i~|yQ0oRG&Ts_kQ&+uI>@oqapHt_D4KXgNG|Yj_0*|{3k9xRlflh7^S1~pf9OK)z8p7b9Kpbbynuc^T!cA=w?A$DyR5uns?P}lo98M(F8v`hP9H|kQiZ$2Ca{o z6u$#`+onu|Zzk;Wwa%;g(;kzy5u?|^hElR+@xicKC8j(+lQSkCKrrU1@UYbpt@Bnx zY5MNt#c_Uk{+tHgl>#`EFMQLji&Yu#$l1^1wF7;k-9%aDSV~B%xxg8$;p*$4Xoi5_ zBkRF$gq;B?;JD$bn;auoCn(;r_rsu;(}sKuGxpWOm89|ueY*Fon~k-ZoQ?x3WvfG0 zKclCo`$~RyndX&;t-kM|DxR*~Qx}HKkh%rxCV}^^SDi-7=ZD%2+ei1pe7;BcNL=jG zHhn1cL+?4lbDE62$a*&XQS{_EHzwqE`lT8girlKJx@pdy?LvNO%5`9b{9PH4rIVB0 z0C?_Q2zrIaVJ=0jCl&56l)S4hDxs@|-6qH#ILvIne*Xqm)T&TIGLU%XLRGD_3#*&b z*`f9ko|=%BY&T}UiITvkD#eoyZG7J(TmhnlTX=Ge7iB$t>C0=eA-yY7Ag`<6y z%07MB`iwBUB!7^(efwOm*|z0+p@rk24TD1Da@cK#^}S}=H5iPmWcDZ39X zHxgYpwfDxjg_uIvYMp5E)y{P%h25>ODx;A9PPCv+%pI#)n<*p6&SCpP@_afTLNady zvCkB**bc>h9zXsIfat_c*I$ACTmzfDJsTF$C0Ylxp_tj~)TAA5s zNV>ll@a)Rv^;BVPu{Qpg<$9j-1ohc~%+kwBUIs01HjgllVMo|T0Mnz7n&1PB&IYzIcvet+TSxEB3qlxRLAx|$%ESP)e;x9H<*D(XpZj;b9X=)S92}~%{`St?It#m6IVeX6w;F(y7+2R`)^sw@QM>TX zAmD+GUyx;9n!HE5{}2F+g2f@@*O{0VYma3*B)t32QA&r&6;=5JRD_?c83 zAX}qL2G_|I6BjrI$A6`e4n%et)W;0{3?M&mV1dhWl)dS9Q1! z2tLqRb0qo`k}i|vN!Xs*#>^R#UFS7CW1oM8ihRoW!6ZhkN@rp+F>eGxr%opa9D4ulo-q!tD)e1vmyq!Z zp9uQAy;*d&4VN@x8Kf)2mDggiHH`vNIVus7J0EnanACaH@GSuY#AT2g)Y?c*l4T`e z0i~&%dqt@`mNm!S(tck#PR^(#A;~D&hFAl(($giU(Xamm4y*x4)qbi<{-!J7%V+8= z2sCQ~WuKZ)SU42u%PA^2aOqQl2%~aoub~kH+kk0jon zWow4d1p0Pf>Kkj*S6pKj$*6tI$8V!xw=&-LeKhPs&82aVMRP)kjC3dZor6i15iMsA z*%EnWJrY=e0MD?vgyh-3_wWo1TVv`^hOMr9l_1@Nex(Q!R`; z{=ZL_V9EbKpG<2yKi+-Hf)M$(iawz`HhK?$`;X}~sIh^7mm0bOChZCoa8vZ2L7b{~ z1r?O<*@@*8Y{Zv(%j0x#B@;K4-ZvJo3g3hmeo%Hnr%UC~a+ccp=xC%PYA%MZ;BRoG zhqt!Qj-0ai+4KKb&l+ubS0-A6)*6oDKLJSx1zwfpI{_~T{qV9q8U7&9AoppTfZT3P zozkNO?)+O1P@39l{Xz3Grxpi+FtPvgqf17g+VFov6p5`FD$bm^%?CejS9KMgCuK@6 G-v0+}Jj;Cm diff --git a/screenshots/topschema.png b/screenshots/topschema.png new file mode 100644 index 0000000000000000000000000000000000000000..1f08ac87b0ce0efa24ec035620d508d0b07d2634 GIT binary patch literal 58813 zcmd?RWmsHWmo-`>Ay|S-@B;*Q51ydG-QC^YNrG!|clY4#?(VLITj7O#MRHE}`}WuU z+Ep??t}~$^ih-%K!k>Gwdr!OXpvK z^^k9`?fFF&U}0gGHl)`9fWH8uf?pI|7LQhpHPA&~zdc)6I)quCa7W33Wm9<5F<&8= zO+3%)1QM1H;=F;MghT--#&PI z{cLGiXlZC!X#g4-Q^py>LGJten5IWe`sY03i6{o@?;jWdzD)nLzx9!M`}cV}0D17A z<_`dV?0?Q*f2Mf-_qh%L*6^R^cYw@yf1mq6{q_IT4=?{du^cYxS)h}yoraq3#>Tnz zC9}18z9DCeJW47}GR(bT`WJnHq-M3P)pYASo<)q`Gq%bPnZ|X+f(xZ^j(SSJ!g>iW z(8bs1F!{M>w=nt53GEY_=>DtDjUebU66Ww@!Jv9BX`$EhlOz$!jTGJU;%3;PG*toOgf+?GHi~ zYC1$^cPjj#BWQ`F%Em%Nm9oQOIzni;OP)6(i)lPb`&X?;4T}9CAk|F2#7Jl7v8Oyd zepu5P-2|P(Iw1N|YS~kiX_JQ!WB8vCA7B6T(YHpmy%)n0hLVOVil*OF<}{R6paOO| z22A*2MNABOX7VU6ePz&l4u)RgDU}-sjW-Q-)TH$UjD}x=8-_{(8r~5RgGA*+%V=88 zZ|dNZR!47s=AA1J{f%Fi<~tixq>2_RjBe^R=Av(0Jw{_ zE2s?eur!?xBE}#hb+#f$E)G{>**H&zXSI!BaZ|EC)sd=5LymJgUh-P-M`6rwqH;)eV9GVw#20yS(NW1qM@6gsHt$pT?=GFVy7wpF?*(EKc!t0Xn8p&0cA;*IalIfPvAq?^1y7F$?{Zi{wD@xbRjV?jiuO;L>f=Por+BMZ$xZ2hL*Q;Bdk`{B($Ioju5o)t=$rHTNmgP_` zthxuuRbGGZT38>E;MJ#Nv2Q}3#i1kv+32@AUz70h=?AL?YV`hPbBFq;6FwXNZ!%X{ z>;2-jr#H><#lEj<>g>BEr7Tj5jzj^0XAng?sc%8~C?XO*;j@Z3?8FM#>lDm~Wlqsq ziLg3M#2rQ;{LjwREQ+og;@=1FmGEIgyqn0E;lSM)R%qK7)A0i#!%&DgEEmwm_xV>r z26fBQ*EDxd+5-k&omwpC@6VWPt~bm9wG}6m(3VubW@6u;7aDK33=gpX^PXQ~MB!i*C3#OMsGfVJ5V$?w#0DtHV5UBBF(ci4Jk-*7 zUraeJrw0{5%uinKq|m#Hp32627p!>*_1Xb^YM$^As>#vYr4E~>1?_jvbcOVLjA^wSP%IpT zuakm}L_%R#P@BgMdg)JQS%^@pB2SXGWJy(RgC3xiPEsu=pC|`ganor)u+=5#2!F*F z8rOTgfPxIGeR$?;s|Ky<{NqjOVc%zIA+?@{vqLV|<%m|({qAy*nAVcfYe2K4mUvbN zdlhRO->3qrbO*xFucFB}9Ocm^jggq#qGv}Z={UJ`*aVJbT93Noyb-8ugt{ppa5hM) zaot+5cb8yR8I^w92=twEiLVY`qfa6I53u;`xFX2h$4GcroP-TGjtKyiXYGEMP*TP}r1}o5@2JqZ2bx9MRWsSL&2iT=Sx{V=tP3+lSV5^yV-RC1IFpFhz-FK>mYlmeK=|~ zT`@SKF3nMjEr>1;^JH(NaM?4PlaHR=4nC6XhL?utr=oHl-V^h6}{MRwe% z)Ln|OX^B{aKcp$HN4>fmb9#F^eRJo2;6=|5JF&z2;C8l3C^e*77*vIkPqA}Rlq*Y1 zj`RS`H@a?)FF}Vaul8bHmY})|@kDa(Np53$`zdcn3L#>B(aCr(!lgAk#$SDiQp_->B zLJ=bp2_{xGYu`H884z0Fw-^-E#E?nY&gMlpNoiuC>>7ER`6p)V#=ZiyQ%?+%bZrq* zq(4ypL`>J8jT31xWBqFI4*E~#;L&F$4lfDT$0J8v<5}Ao@MNZ_$nFC4RX!ieJEzV^ z3%k=Js&mSaee^}vn(E+rZj7AvlBJVhs%cgu#li4i3}0t(PD1AYR2?H7qsVpCSv$3I zeBCQl?h-RqmFRdk9Az<@m{8RavvLd2#wPL|;q-90!hgvEjD3_7PgaQllU{Bn z^07ZS&~5y9Iu12cqXe`sWk{WJwCL?edfOZE6YKx=_hiRw+3H#vWwtvkI`o;H8i-vE z4yl90gpAMvl9lSQSm*Q|%DY7FiM&;HjC@p<^Yo(*2$-qizORUjHTIf3=}SZ>qS1xZRgFVd zQqLJbtd9`8@*OX)g-}7C-WQw!0DOL&WEN73BSD7apr?^3A;oL;(ar@(ir*<5&s|W( z%4m=@Vk+8eiqxH}cpc3q;YN@-IbbnRHqot8?YK%Z#-xUIE5+bz=qWyfN`zu~)wPO>n>DgWmG(tx1LB(F#L~K) zub=vX(=g|@-n_6Xn`-s@Hy*i>Gt(W?Mn5teW{DApV&5gNRi#6(n!X^QcJ!N<%y}jL z*ZvXddd&8**tCMS4?Ub&CwcB&z!ViURq(XCXl{+xka^*@t46ffltpe*C%(Cz{fXAX zbzo#I;-2wyjyNU5!6xL-cP&L_DqON5&`Im<-!Y6GY%~wPa@)J`@@2k0(Z_p2E zQ;nNR3gug++Oz0!$mSmV}+<+-tVdN!xHg>#)vyF0#39W%)Ijn;fQ>V_qjo1 zPZ7K;4f)sRuC%e-5vcc)&Q&}%Jc>gVNGIc~)fd^-^*3W%NKFKZwKP_4{WD`}G`nX# ztEUZ#>rZo#8)8To(JajiN6Jm}FW=%r8gSm^Ra2bsE6Ifm_w6JuB(i}jY<}9Mh3V@$ zn$F45kyEKMSJi%D3(xXq?cAwRxS&@mR$Ok1v1QlH#ek(P4O{V`IVQE9EsYyDeFMN# zZ$IOD_XmHrW03(yq&wiGA0sjcs$LdbQe zA8}fpK9_l;80ic8R-hsBrLID>Am2dahgFY?!A=)#x>s9(r$(QGQ+u-F1FAaiPKUu1-@pUR0A%yJ}VH83XhlQKRip%=?VPAJD{1>dPRWV}S8B2m<40a5h zk1nLAXEOu0^K$WW7VE)9_KEmiFHDdhxqN?EP#ZjuC)ZA9IOz;)TClZEG<+^gY39y+ z)@CNzF(e(dOY~p5IEcYL)Q<`N{Z(RXv2Z%-Av=Z&Yk z(J!mVU#IqKnx>@0zGss6eo=cnlnt6Gr%x{0Yb`?2^;uXJgodo`*1`*o3eatS-3M-0 ztBkU}=IEB?qSDKrBSbOL_28tg`OhdTflkGh@w5F}kZ}B*+WGb6S=WU#QEp@u4fNp) z?K}e1);CBBo&s<*&ucTAo~jSM^uP$H*qw~FG2Qfc?lR!?#b=;VQe43#YP6t~<;W-B zvy^+Bp|M=x{-Bs$a;SxQDzg2N(%=R2G7+KL*ReZTbh;+Ni~;yO#8J*IKOx)*W%z4wATk?t|4v9IG>)debV z3i`@{jWM|MXoylR4mnF+vq{e5_&oQ!ZP$}ov3JaHHf&#I>GphQ%z5(i8+LlD$@_Px z8`%(IV~zhiWmx04CYDw_*ycsKz-y^ZU-IE5_j%V^!dg4rio~HF_(4u81k8HtCHqNltiFP7M*IEL=iZ?`xo{@kkfcx8_JR4VL^(Mg9MRQJ>*bnnwbM-K zvT&sPCJhY^r4T(@e~blG^1%6(c;@C>fG^;{JGH7C(;I+v}MJ4^vuCL2m`JUge~Wc&6GXlW(j< zg6i@V*=-=#Z9eGb+9jmR6;%S=d8GX&ugXwuqEnN<)|O0fC^dO{Pmiioj16>~Jmit$ z^Xb3*h5IywoLqkWPHWuua88m2r|YO5FZ^P$APtC->vk&rwuYIAd#sknTF~K?s*)kT zKZxagX=O_8aLMwB)><5{KZ0_QVRt zHsVWBtzcTO2ig8dBt$23O5r9bfRx-B+wWWjC}91qj$Q7rM4F^k#W$Eft`^~>t_Tab z0*`L@6Hm0SK`7ab5ClT&_gmsOlcbJ#7vX(&IotDU|BS=P()Eg6mKHU!S8)4kG+e+0 zB9FNg{|1yM4+ke&Y}9cCg=1LX1z%({9JJpnEDZ1a%O>cKY;&Hg>ZomR9<)N*b)Exa{&LFN<_P08|3mcc(c~E?qs+r##aEJ z%+b(KFkeP~ccQ(xFwc9y#HueZw-8on%7|{3HW}6=^+3$Q?OQEBcGBtMsGKJc$=Gn& z5B792i~ZEZz-6?sZO`68$J zwa-aYWx)~MPnWv8Nz(4&F|uZrCkVjGZkNC=HH`Gz>mZD7%?BXCTqS1=N|aajDqWZ9 zL9{X)4Z3XQIBq4elo6X;4#>8q|EF(SFn^Z;taJlH ze4*X0y~0V>nhx&w_ZjxgVdiCKdCEIl!Hqf~dLb##Tje(Ip9@WX_>$Ysqt9{M4L4?E zn8f_B#d0W_<7Edp=_WP}p%3>#1ImpLJc_+tWe^#4++_oZ1$kU9_?HL1KiF%ZTy^*1 zSGWjSYCL7*+F23rW_eEY`?ERj;}vRHy$)w_15V6`=nSIP8mk+s2M$h~T)6{RVmp!f zUGkKS8tPg#Tqqx0cJ-lA;0u%3f6>GjKKskA1|_!MPb#B1hpELB>%>&F;p1Xl% zm@B=Gutk?Y`Fr%@-O#WPPV^Im(K9;miO(wh7OzpqU&QD$oP9BPyXtD$F!{`{YS46+ z&Yrg@HC4`*Mbr7uX!xg-BxIGPXc5Rl*ClLA-t`5Gi&UrngS~TUc@&E(y*qGs8bwMx zyKaXReEX^L=EvYY#hp(q#b7s$-N2em`c@i17hN>Wz;YS zhH(oHp1Mq|w1+XPE1faq731=kho$H;k3C9O3{ozlDojny_(86D*So8df)8dl^9NR+ z{ka06So*hKf2RFir}UZoqF!zIP;0^%iM7YW=WYE{Gc5k=R8%Wp^-VBmc1a=CpQ6YK zIXJaAxOvxgF&>&n5A-a7?x-0TQ$b}taO*AS`U-9W{lWsX1oSIYyE4rG$!O>*VXWy(%rEny**na`_&MGBbbUp}0E82y=`mp{Am15&y8eGoW9F4sL*%-$%p3 zpNe>Q=UEMRx-U66oN&ZN9ab95sDEz#8F3M`3R)p>V{XmdCoJ^LC6iA;~z2YCaK(| z<7S>STY3$J1FZ6*uGXVbApHrPH$H z!kv2YmNuZYyv?bxX33OW zy3pq+OH|dV2_n{}n`O!-(J1qIuPYjR|3jkx64sPk#PVhI@MH1GeHqZ|vy!2=Fx_j2 zLi8u#m3_*;%Ru!Fhj4fcTzv~kFj9@%OmJg}KPkGRBfjg(c~>J4+o@>9n_F*_8uLCl ztH8f9d4`O3lj}~x+-X0A)ieM&pKKFI$L^?H&Enw0*rrD$jNNkYl9If3pxkDH5cKY| zrye|n-!{3^1|04k;>^zV#)v~|b>8!x_{>v%vY)3Z+3O7I!)~z5vqFRR{C}t2r^hZ6=nFbf_2$%A-=?uiHLEF_Jm-8s#rC=H-X`-x#WV)%HaUA< zQN~+&;F>;I5(bg+*n(<@lS`8<4#{V8*4Coe8G5w~M7=-sShni@5{yoDHk%oBJP+YF+WJvW^w1;ulJhotX{EhH_@Nc*P#Y`*bbFRT*)D0p4;omHHUC$T3H$XT(4PX7+* z{Uok!Y5mz>@NLEuWWB_H)$!&P`g$Ha#q2e#kC)`#G%p|l+qZ1!)gS2!BR0pSe8b(k zjV~>!Krl5GDooNT{s(CJaJEOkK{8#)u#ml^n!32+^DgL}50MP9J)3wTxv&0o z^JA3Xe$`X7Vy8!J(RR){$c#6BY^U+^RPXWVfInP7CEiJ#O{9hz zEPr#>=I(w9oS+e3U`=dY7>kX#{-M_K8w>*WqBB+?J$nZbD0=DP%kjUj%w`bD<}a%d zW*a=6x?K)NZF}%6qCs|FpFfzE=82ox*p3E1ev? z!jigqnZ#_K9qc-iG;-WUMYyWQKzB774PTRZqeRzeOO#rF39rJi5bjNHw91$!`;aXN zB3sR+R8FUfx1*Y#nwGNJ*_bKmgu-f8Dn~FGEUp(VP0H(yn>ibAIBdMb)N2lbbkp(m zAE^z05+jdr)x3?7dwXjsUjUeQHGfht{{m5-<4cte3Zl_47c5Q`tHECfer6m-a=2c$ zZo)lU5h%KNsuV`#NiY3NvRqtl;!rMl+8|1gQ{MZCGH^e73@$7@xQ$0yTg79PPP-mM zOkTV38^+pMqqSlL4O|$~eu_Q7PDsX^Bh@Pk6`2p!#9*g%cmL}89v^~4R{0^b$@$|Y zEHb`i75JI>`cJOd!JE&{2KQ~Tv(m>DUoLL1>^oOUh4Bc&4(%Ot->$B9#!}89RH{iL z4Qs}t(|@hOpZ+3O_*QdJmdrvHja(n`yqb=(K#pjGS4x!!cuj(zJ4E@HXSLv|>b{#~y>OxJTbdzo{K8A{hi^i|&*FLNj_YYlHE(>A<>5Z<*c&-!Ysm7J6W$yMO zu^)MTs42sCKOW*UK7JegntxAd8do%*u)maj!IF+Wjs`X+Xf_g`GalFBA^NY5kC1 zmQyPH31pkRKDwn{aX(RWkXGKh5WC{8KKu1#uDym*aich9`BKE6MkqQ0#tC0V75Lrm zAVUWGOo<2NY8EkJhs?lR#NQAU(5$NfZ62rLCc{hY|0gXGs6k+T#`%|*^5)DGq)!6w33o0ykpa=%S}On z+Q;w927?P>1Ny42f1THQ+UeUV#oJOdSNJeFR-f5n+A!WBLRf%L(+lVZw72C*HB|5l z4>a%TDt7d)Rf#VMue^ETb%<7Ch0|{@lH*10ty3CzR}%}8Ljo+Zt8!J;wd~ezM5gFO z_~x%itoWW=`1(vQXO!zt!TP50(&WPj)kgERsI)|akyTlzNv#OhsobvMd?fa(`zjR? z*daQXY~hoaJNraJ1an8xa!GDappHWC4~rVDzZhyFSwZ%M(_NSQr-dbzdq=J6eRMm< z#SKc5$KM5#9qo2`ANLjL$N0Q6zZ&aeO-IFh?~KkqMx5?c=1Jne4ZXguqY|H4$f}Ro zqxKVvGqIbL!k>fWc=Wm6v5E$`tD}9|dTgMT6<SGn-7(h-?W`$uwoET+*;!6<5|=no9tm z9d@{Tf1)+cB^y;r$%+{&juWm);XX@GPg>v$(lDEUck~euw)ZptQ_Jq~Vu7Akl~G8W zgXgd7;YNEgT<;}*VWr%R3#s8|eeUdA5v0_nGJ@EagJj%uJKf}s6<#DpGdvjRKgPOJ zh%!wwY5FZ-43tapFCbWZn#hVICwVu9Q0q0ZLRHv{3yUF&nj*JUNa#+&1jKztFOjxz+J7Pu&4}y&$g()-YZS7% z#f!*MeKloCcJi#cqcZMxZ3_ZIkx zo6Ly+=;y|q_>Z@EDyFnVzB1K?;Hh%9|GRRYKU?gz&mNJo^Vr$eL<-h9+#?kz&1KOI zu4417wm)bLWMDs(XC9`Ku#q1z(jkO05xg|zBEj6PezvcQ{)>m5@1Y&lbv=)dmYMB1 zOs2Y2PC+^0@BPQ2dO{n=IYZ~@SKHzNAt?xFLV8XJzsexmIb35x{=vZk6;glk@qa1pe%93a z*CM=-QW~F(o=5;x_>Q)YoU@RXyI{i@_QjA2HDv3MnT%^ScLJs&g|2dt>uQyx4^2gq zi9H>qcKpyZ<%iuk^CZ7{+OAt`6{~lnn{)Q1CAF=VNZjhWPxSeu( z6VMRPlNxt%MVV~YbdaR2Kf94VRQ~gt4+(7RTXKY59duQ%%}<|pXWu0aMCILE8!hl3 zX_tC6p75_S^<7twL_e_zmwx#18KAtaX6%M9HGuV|ZbQ!3F?~Jm-0*J+;C@)-tXh9D zBVTMIc>!mL(3mR7I8460>K`wVZxT6N+RCGR_B3f%_Gou8pTz(WC0r=uH0!f=rw>gg4ehOh`j3X-;xNe3e%@B6 zE?;gQfzK-%jx{WXyxHPhZv8$fCX{{AScAI|=0Yz0Yz}^!zI7*2 zKUByp-wY`fj|72)oId?@_G1mc=icc$(PRBS92Ks%ds3E(K6X6$wsPWY2ml9se*Gdn zWgr${RG6v__Ln3dBj~|~ZOX9;U?;v+RitZ=f8%<_akCR|m$4S}?pjk(aFI`0i8 zOMqc8OHE_;i(Mh*`BX_0WF8~JH(PlzEWP;nDVo@ql!l0A2({BJ1lcbl3T2MVRJXnU@R22JB@#!V2Tk!VU{mtT)g2JSP8mBwvAzZt<~omVdZ zcfEbemGoakN&IOe-yI3qFc3Gs8bII%-3u*t{5*zksv7?JvU5T8QX@1gVb!d4OC>-Z3J?5Yqhfg*z35{Gk3#BBjL;!srl}gq2Sl47dEF0m{3!?Qd;n{9|Fr>eWH8?n1w0J2F~38PcCXH`92&nBc?5`843w9*3S`K0pjMQTq;YDmOn^^*A;vX(+mw0;;sjdW4lJV{v(6(H4Kb?mX=@Jd zEIT@aV*|Ns-Ula^ISq~!PPij3EWxu)bu(YV9mO>v9P;!{b z)ZDI+Vsoux@*4+jE+W_QM&=cFbZ^$VI%o4jUq$2Pu}|)MyVvrQ?-@PSf+|QLS>ih$ zgxPD+{|-+FkJxt_d|vTe-=w`mQ<1Sj4i)u6Nm14&sq@?^M9imF+7lcgCV`=3@Ye66tIdFn?$r=dOlunPjrPNl;SkzAwG6D9N(pl z+s8$exE*kqSb-?-0gp3E&lHIPpCKGR1L`-688?}^3eAxjI*F54sj5}tCTJ^k&D6-9 zBl|aHyyNB?vrM8v>*tJ_o6bp5j?0&#;|#_8|Ugr5I3w{-E6q97w@ z%m2w(rGSw}8B^~}5#C%p+Y~5vN*ep=Rt3i4zieIE#}G<`^Y*s@3AkDWU;Mi|4dXR8 zTOf}$qZ|pvmlTqGIqO-wa;QE9r03tXiJ23=;x4C{arb62aVl?4)~{=;^3|(nW3Ele zr(5CS5iXozYWB%kAg*SB)KGqW3-Wro)$tu7uv>VFoRXuB??^1ZEq~DEH=h)K^AZ4% z5;nshl}je>vBN%f-nK;Wz(`adRT~l`!Vpu~XC67Mvge0k=jt5jF}#$Z7MeRT(StPZmf{;%?hUzC7=38jhPu1 z-eO5Kb3CNHTT4MldM}n4MVgdSE#Jqg#}C_6b8c^8#gD>2owxv$BGYb^Pe{u(`iOet zRQ7{ECDoT+`_v*oYd)k$O}`k?OIT5O&7^WtA9b2r1dN#Wu=p`)@o1VS`LuUgxpH^+ z+oQVwU2_Irb_1FtxP2g0#MLdc#x8PN(p>}6 zfi6{VNyML_y+`B6X5e`ujF#EEPF8Lb4~$HwUx(3+PiPOaT- zFA_~p44JOht$P5v8~@kKNT?1&cSwTUi>R#u7N4YCT=95{NCpju9gmnA3d`OPGN(7W zlyjmoEmYA=xo)@t=i^EUYAhql_Meiw?p2lgxm9)&DVN+uenJK0pIm$F59x)Brelwv z4kvo(Sy0#Lpn0i#IjvM%RRT}Gln;5{UahzArNmXqp&3(4$qw!)if5}y5!{YRj~t~h z-zvmE>U4}h4oSx!ywQV!fr{3SQq4ePnS;?nwCP!9`One22>cRa6v@kVx2osx`pFfM zZ5-@?=c#)!>?Pu4oD{miaFjoxM8=|yPIzP6pIMr2#ccaLws`VtExoT>A`qYH!Oe8s z=QVXHWH$(Aa|ELBQ>5iAReKfpxj0{;+QJcW&diL61b8`k_97RLVsW~|nXA$FI43Zx zG(H2jb792Xq#0cAiF7NY<5J~pj?~8xky{*Gf_eE&7uT7MXX2)=Ar9WpWFHs1S0Z2c z5{4`7QRc(%#BdCO{k)yUuRCTZ#QoL8O*_$zE$>Z$(~c;*RN=aUsQ3$r!B?S|Eh%vhY2pRu$dM~FZhSBHO00wkO@aK*H%`B!M+gfI>hv#5k zzhwl)4TpdiwmB)0FS6L;r1Qv0Gw9m{7x3e7$d&!SbCVo?%UA4e&tB@b(vZBrX53dJ z^2NOT(Sh7X(tI^!P8@dQ&SQBNbhBkky+sgyur2Ea7tMS8dAR3QIH=nvu9x1E^?q8D z{5of1HKZ#JF$NC8m*yO7#@eht)@a8JDeS#6#OG~%fH!>x{UQ|UAFK^xt01@$-|J!a zwz}Qd=!AKM=#tndB1%1s;b;M;X{W^0Z6?8}T%7N01f|hiq#@dh>sd_;O9~zo;DC@H z+eJQbSq;glkl{?Ayt$I2PSD_b=Z(0ipB`#@ZFI6$iYFg0TU~0%G4)7(r!%32?t}SY zPYArGIpvMeA>>&@UY6o0K5nSMDtr1s_^USpB0C&(9v4@M3+;l)6GOBbsah^BW}D?A z7rHm{Fvu!4+(NC6Yaeb~j5~ZTF3R_z^@bu~zPe&?36sTTFTr~AMd2iNRNKpIswAsY zFr>1r$X>sd7}oT34x7mN&$=dF=RXBcPtFZVKTX3cUW5syH7?E z9)mj?d7VP~G4l&V?WrQN2oqOt04A5`BAR#y#j|%0s^yE(TT{`gN#h=Ag)I%vXee!q z;lm-pvFX%s|9C70$Fc_>M$Ea3gHQ-sj zADZ#ZXFAQN432f<8LCusgc7Hbt8RpE@|wAd^JWTNO$F*L+?=#p6Vk698;ueLH^o2K zm$O=-F%0Ko#=kQ~kFRuyi7ZTa&F%CM7a?c7qKT0m$|f{db=@UD_DT^&=|qh~hP*Ya zTO$PD5)Ljs!(-=ZK2u~=?1DhEF3@=_2R_}I2Xxut8FpTl3WU<;0vMY$^m`>Nox%HW}SWp@^ z(^pc>o3}^qB8NcpWXrORoD7#EG-dsSj95ZzFpk=Y#2x2c%Q-<#`n9@(tKyj3Od6g@ z@>yES(f5&mtwA3Gt?|u_ zsjWEuq*wH{E0QmlDQEn6Z|^CXFIRofyS9q5qmoO1|9+gf*x@(Y(5o2#5g@9cg# z_5HN0?R+tu8A_IYTr;PIC}A`d?Y}44BZ?qfWDydoQ1aZHv2=@cJWnAe~EEI z)BDo~F%yW{J^=E12kj6_ps)4r;~~a>_x9OLoFTkiYhu0g55su0rA61EV%L^qkz1(? zWbyQe$$~M@PmgU$^rNldRvu=RI8-3^l4kgNelJAeXEYoQ&G93^P&?Gn>L@^ zaHQI10?R^0bCG>nMUNV6(+EQ(h~jPW$O)8Qf~SO7gy=`*?InaZzXPQTsY?Z4Mc*`{ zhl7hv+R2$#qo;^p49yUXYPf=u78W>Z9FKWe{mo5ix_YV)o>ZV# zdY)V1-T_ZyV*luv3*Nj5N_aM1l4a>qu@`9x9!8qt_DKfL{Fo;{#8&c7;p!aXn@Az?ORCov2>$(DnqNt`9)n8bO4e=ewYwO>oTym$f z5-pRFsFO+sb2x=8I{I^MvxoE}rTH?sId*p7s?*!U5-nCAp$e<%#>@Qi{T4>eL*VS6 z{|iuhoocnCp{t|txWmNRv(IWgD~th3VpV@|L{Rk;Q88T2dkl#F}K zjj>RAXi&UdPS*DotChX0Rp)N=K~|e%Ra3hnNM)x>9icaObwWK{W4e&oh}D0 zUJD9=Z0Y>8XPB#(IoSL1@8TjJ1C-Vp)LefOcl^hPBl6U6o2 zbddDU^w8c@NnI8%d^jJ2s4=Qk8}6w}X^_NsFD8C$P}AZB&-t{{*(Wtp>3+SXV2PCO z8yQ&(c$coF&=k1#)rvypaiD@~Fe}>I*k~=yKoj>AhjSYvn1hdFDpk4fbfN|HMP8j? zf{Z7Sg7Nuy0i$DascmJ(3GO^Lwoich)y)!q0*lDw1Le%%x1pM2t0*2WQum_aG_U_i zEk4NKKK92-6KoTHopp*<{2-h~5nNwPhPvcj(f|Q=n>G}kOtB&(1>zBFit?CRQ>(E` zdu#iX;TVIedTNI%{f-g=vwV2JlL`zDJ;-x1Z_Obb`ks;Q041ottu)%lVL4QqxsKjGv+yQy6k@|<6>lEDWzQv?J>u@zrW9Z zOit}b_Pno(|Cvnar4z($GnF2PoqR1!v8ePosFd(ujW5}Hrz z$`dCfqypc|M0U3)z`^8~O|A+Xj5^M-WO{aGGLKyXye*!b4pwUMtd*_yU@x;G&L_j} zI(Cua=={ej$inm_dZ`76#{tTZr&8(H_oket&!D`YWHs+a9V33cHr^ri_(a(_voR`V zDk@a!A(@6Z!7{+kCcbo9oe4`MnBR8WNtlRVZfN0O?4^O$Mh^~}q^fOry6*n! zu{W$AYY$I7zrs?ss60}}lES{}#yX6RxMb6R zOl0#hZl90zdRjbIzAmQxvIQ588L-7p*T`pCnh&jM7v|*A5oYk-b@3)oB1!Vf_Llj+ zeH!68#f(s;)!~P*xsED<;iN%j-Q$yYt+$iqK_;danWH)R`N(ljaEt`$IZCsQY!%WR zOX!`0zW4Q`C0aVKYKGp3D6Ja@PF|0>Ln$29LPv-AI&HhOkS*Om z*X7~plsM4+URMoyym&)Kn}A5r6|@n>dayY(^w1`KnV87#0_;ao{{*jt1KV$(y;Y=Ps`o?I4rq4r6oJXyz_I_k9wEU z$A=`P5|RNpG}3<#YT8lCL#~OEm6^ zepFP-{aZOOYh(3}+9LY|yHO`<#9IyTEIwRH2RA4c&1FPpD}?qw#=Z-S~ERf-OL7J&P3{QTv(!%*3Sas zF6czQo1g~nmey7lU_3X6T~X&DeCApDFxf)BW6~%44ZEB|V83C8> zaq#%mD21aN4VGer+Tsvxu0eYh0hZYiLHn0qQceQO>vAsocj;@jgMAmxt%R?| z>CLe1wIA+;-IPx}yPJ=E34Ah=8L;O_43ZWG+yg1gJ$E~iP>dS&nP?rVS7cj{M<_Dpwm^+#kVnzy;Lk-KM8Yo*Gg`S#oTz+kPGcA_H zTlSs*uJloVvV*6kA%+TUo0?q|u$H8*0BuT3B1%klO-+LaH742A5G?63F;MYyUdF}_MDN5HgZMAQ#Dc&nX?F+3 zl|eBLK4WMbe3MM?Vxhij(wH!o~%>09dzoj3Z*y~zP)z^Fx#`v5nE=^&+Eb?)`VPnC{^ zQu+Xcm*jWcpz(B5lpxuwa~Br9tIDTCi@IbaK{e{Q0*_`FkMYjJ zrJ5QYs&!cyz{`h9+J;Mr*h@f&rIr z#Ic5^D8-%zH(BSa>$YPfu^o1nZKl*XpDv6)_a7ORo#3@})KzP?OVAv~79XzNem;D8 zlTL6qKfH9al+1>+)u=wbm^a6iYS`Mowt1*U_VuVd069^@mL7k-<1BS_%w{n5a`{-8 z%!};o&UHd+ywuzDa%^Q2ow%;fF3sZfVJ>)EP6Q3DY|$=YG4l8#CFf;=me}0N3KZ*V z&ETVAm#gSo!w-Q92cLArO=}&=vWTQ#&uy3m>3m`$naPweVsgHjZux8;_jY~0A-K5k z`&lzptK>xgPR8Oe1{yZD>q1=vqhY7F&#T}k0pq3Qb+)5jO<{-K8=uR4bnxGW548!+D%`@=RWD}_riJONo>#ywN(Yo zn#$itXmXt@i8FgyuLpOgW>U9ywftwbIpTB8%=?))vS~nr1l7IwyIJ!RX_U+(R|$$# zL_S}luBaD!IMhaCUek^P#k*L&*EmXB-AET+XoBg2V@%Yyvup?ov8WN7Rzww62M{P2 zHWnm88c)>PptN7&Cb_^oN+VPf+6jBJ;wa%qutQ!{I$v;0acFDUo^Cpl8e1$C*OVwu zUFCn9%WR5cI?67peu+YjQ{WGZ>0oxa-hn-PY6y?HmdjeN*t#@we~b-fN)%i#>{y2K zC&9g!r?qr!QKlj1FStt2jJbRG+Mm`7GYmd*$mw{5w!Tb2Svf&O+!}&~ugZZFajIKW zB8|d2aUn=hYb@t7KH>IfMVWtXEIJZm(F}IEx%?29SX$DR5DX^{5_>#&8qU;flT6Kk zn}tTtYre<;wQ{GkmxQ)Yz{i(HXI3Wq3 z+Icf5ybWHNQ`^y-A8Wgu;{T|5%?Vn+N}DbT2;;o){00AIV)#_oke?z|NYTMRm%f6K z`V{))*y)HVSa`a)s#u-(SB|sv{g9ZiEI$6va=KP@qpu;5=v+lpA~8*$+H!m%^`(mM zcG%Y4)F)wnDxw+F5YG)Z$&#buw7Xt(wG?4Fwa{PF1u-mFUGEATGCeN?a*$|~^t~FRltX#(eQH`!K zbH<#wYagi3-nmKa24>ZP5fKG4#r0-Sq9qc}sMVb>jM1~clZ#CTNNh1@`WTX-Ht{NHRqLM0 zs&{?BsT(!*b#L&d_BNkI~(ly zLJ`=LRb;`#A~Z8%#agfON?^iWNNJr}nkuQ{Bg&n519TTuhnz;J%a&d3Ag~kQdy_K= zW$Bp=d`F~=vVW9tL?NeF0E$bBZ#J9&DQ3c9lXv00{%EyGU5dY)IH3I~%xH`Zs&zWU zRd6dB2{L9>)eZGT@Y3JXVrm`PwRS`$1Va&Rk~32!^ySCo#fSlCzj~~jFABguKXL3b zJb^R~psl-M!qzpoEN;cR70he)G{rnD8=EWjWi5q)6ff;QIHoc4ixpwKfu#^sZ@F7) zBL@c@w(@^bb*0^Y_>dMw5Z(N>SLXUqetnj%w-5L_Oh}+x0F9~;2hpZWN)*_Q?` zl+-uyYMOlk5xk?P37=QB7vBd3ytGG?T$homi!?*ttNbi30o{t6LCFNHkvLM<+abR`C}l0{>T{@(E*)QQyZhWsbVU}(}-#C4V}V*cihIXafBG7 zM~^->b1Op^rmVswx|2BrvwLAGHzLV%te`YmdSohA-EXyty-q=QpqH`Ea>{cAsr1-> z&aRuRHz+5~q+H1%@A5=yPYkDn8Yk2E&6rNcG?e3w$YpwplzVR86pGCGg z9}ogOAo6MBIS_&Wn)*sSK{dDD%IV;kI!x2?%{Ai`AV~|;wmlEfIpBR~M&4H0L?13R|(vKUU=#PWhZtN)kCb&k4 z0CObCsa!Rl#dvm9I;l#k5u8?DQY9`w_@n&jDLWuJtOwD2fVxh>PT@R1qHxouxGc{k z=&tg1VKOal*+%Vjm6D#bXNd9Ay?~3;Ub|4tF+fiB7Sj7$F}K8eLs0_N?S%I3D?j|? z!7xy2LgpHNO3SfX^YX?j(+gRO)65w-bx^3O^?^oNEtB@WLz9^Jt?tdVhML_zp-*|N z*H3gNB7={MUZHk`|oq?k^aVuP4#i<5|RL07EL4+U;M*zIlp4&nSTWDy8B5;B4T)CY`GzEcJ zt?F`%bm5-oj!hH@yTz77n^91n zChIpV`!FcM>s+u;M3rP@6?grDI==A^?#iXCJKBDBu}fV%G1V^N!#nI8m;J+`tj({x zIr=AHJ5lG5eDSbluGAaxul#Qui}8E2>)De3ugb4=57Y1<0%&5*lf@Cr8>MyzLQxE;~o{ zkNwTqhHDpYRCVy?KBOQs=9iXFROnN@OB{OK7z>Bja{~1GIg+EfGHdZ~?(UZQDZWgw zoYK@-6*+o=j&=jXU7@I*XyL?P=v$=udabMA>VPZ)sS5KU$Xx3_=y ziCrrf8ejn--#Dx9?iWTevyTja!F4LEfPLDf3UbNrX8A0tYHQlHt1HW6^l;Ay);YqH zNvN`Sp3EZBCVvBc{Bw^hg9Ce{$iRMY&V9v1RXOoHIsC(%g>L6qN5>rpsJwcBg{ayo zT-JfUc4sTc&esA&a~ZgUUBlbZ_MY93wTf*d^!8KgY&e}viQv~{Vfv46CqL(XA9r}|h@ z4PQAhF+lyWmbufJ^tOly`LI11D^pdpgzIc#RjYsAcrFf}R8t23aMG&S?((2w`}3Kz zv{kP*(~~@ih;y01@b%OC#Z?+e*V$4KdDu8A{^QZ)UKNbE-p^ta_sax!40kjfMXivG zcjzV0V*x}k3RhK`sP4HMEdyGM6x~4jfU|(h>-l-rsj+wSG`xJS;L8_1nM-Car@xFb zrhJgRH3|%0cOm}Lle(@JEnq|vgj(*ijMN;CV@610hs9kzX-5d)v$ER=FWCyRclb)1 zRk)XkEke(4K9K5!*n(aHtIe~Zp+Tm>DKZ@f26N$#?sdfW_D(XwnXUdDXh~DeFEfRf zSSozLz%Q7HV)-Z(+egz^pk2zE_&cS9MDY}aZ?ESV*7m1^{N>Zwx*8%Bga$HseFY)Q6NGu)=}*kQd;9l9+8w!fN@1L z#VO)kp{3OvMoTLx zBx3bUb|q%Fg}k#H9k=et7Q+gO*S}CV_?)!U>P?qN@Rpi*<^%%})E7_QV=vbP!|+sJ zc#o}X7xl(hr)E{ z9SjBiKAFva7p!fxm@rN`x+@}91Duf5fuDXKY6ZI}!h-2*FPQ2MSSlCu9$&66Ox!ZT zPpmDux_$A9YKgAJK|N^Fy*t2gINF{WOf2 zU4vM6oNUoaA72m3ALJmO;G7a|b@|i@9v?(Afz0EM9~X{GX?!TADXxpwHK`;mSKfG$ zD;sw4YFA&gM^mM_#*6tIsx)$@OL!$)#7uRDrx?`8Y#ID)6^YFJdjXfnFhTzh_FL7J z^(;j)+S~3<^n`=aw606&rcnl>aLq@}46g2)QQL4t1?vtBF_*=d|H69o!xifNJLy5` z*FVYF=(XUlv2{}y9f0Fc^VQval&D71JC1TZ{Be60bB1m^l+DHnn$r1LeL{L!N6Gw4 zGD=Y;ysaKZU_`%DF?5<(i<0e>+HqVT@-x!`MT%$&TL0{_=$(y~Ay#G&1*?ZCF<>QH z>%Yqc9~J4cProRSqvadu@&n7Xr{6Rq`(+?MH6nvKAJf5Aoi7WKb11{@y-t4$=!g}M zb(%8ht9FCzZSlrH%m(8=4Hz&PI>+t&>$swBm7Fm0cAUC|+{TwFzjL+cVT@5B&k$+D9IT{Bz1ffXHu`6Bpua3C)-!gZwdFZLz ztNAn0nI24L`->F%C%hf0Xo+J5O8*3OTCCE$lZcj?8@FTeXp|ttRV7!l^cXN(g~AgF z-!*3kuU-acd2F2~n$OMKNQytUXLyV#8*I9+_w`1%Ifj6D@LF>g->G~uVYd`aC?gC< zysZEo9;ASWOse!tlSuJzG%=!CUi>`=er%X!5?wf(k8LHFq1Khd2FMDIJC>G6@SSg% zkX5GG=abbh`aL2)u$~6gksghysF6^l@y)o6bLtL*h%;)vbzNLmQ~S1~HA+(9EqJ9r z`V23z5r|zj?_8bjspyE>K%%Ux=jzkxEDamBZy^L>W)uittz~15xCn}kFlx?ubf6?a zB@Tkmq?yH=5DI6-yyG+j7M7;n8vCxym;;d#RYVaF?OXFlM_1NchoqebeQGaB*lM%| zcAaV!r!}+b7UXNOf5YNn)Gn+=%WC?2iK&@grc2Ij>IczIOn0eLpf1ozVb@0oxgkq7 z;+thk4p7r_k*tL8Txk#a8(`@2yoDh#G!4{2@i-fs5KCh!4l46iACkfH!iR0{D|vOI zE2Pvwjjkm@f%T%RG0cK_=_=-mlqA+kjnPpLa0vp4-Q{EdBx=dpPHnsod7bH>8DCMG ziO?vCFMER>;iDM>_0b$iz9T+H)_<(`Nr&(>NO%&44p+l5$f zL`F&87?@y}W&Qr#UlX(EH#Wn)Hyn)srcloho~HouQkZ`>A=1$a{}eSndsLaOT!jzcZ%=0@a`S1w{Ih zfI=?%q=dUq<`xnCbG#d9at?%)ijX_3b(!PiN);D!j)?1cbh&4!!`#zLk}z?jaT0#W`?? zi8o{oKgi0CvwmUn29&$NhIV1Lybx0&gp>OR{ANnUDO(+0KhpuS;h+PfLIUuT@+3*K zR?o%kr&VbcczqSOB6t0BzNf`)bIv>-6e}T?5ly|lam#>^*me9Aj(2S}EBrV0T*kBM z%!xnD1(~w^J^GI{S51vf3vXEWG(OI3MKX2WZ+mN+FY3cQ|6NNm;P1YD2HTE_^p;Aa zw?Eab5?&>8nN)5e^7PPPw-n_C5Op5Qx96|moy~>b*nff|@)Q1WVD#>IOi65_pIfqF z-+j>GXc*MUL3DNq;gb6)tSjGd@EhlLDG%-LWF7me z_3O+so$(RIRjJX&Me9nFW1#*8r3z|_ULvjG4pJVuIDOR^$Se^W3)^Y&=M}AwG5NW>~m9SJMau)BOM&ng?BX#4yA1- zR1EJtETzY)7eI_ejb89oZwMZ#4D9|%A>p0vl^4~u2vbY$*sZC2Hw!4(o#(B>SQ=$P zTq9|HKCHk6mhUIiN~S9L<28*)^p(L?;o`Id5}HHR7uaoaZ8&fCE%&KTjq6MWRCf9j zYTG-*3RU5m{KGzYvIgaYLad1rDd(=f#5&h&(E&R^@@HJmLPl-d4b{!q#VT3?~_XPp=1Z?vO2IIE^hN;Z_`H~cu? z?C_oV^C5u>mYKsLrD-CXlU@PYP7nO_9*Rdupkp~@^{0wfvM<=8%hk;G%D)D74T;}( zfy5@8{b`@nwrM_kyiYG89V`qYavMlxnpYumPEuB@Z*Pj0TRJ;SjT%(yY5jF}@z5q) zn@lRKdRcumzt*8<1Q}9;)2Az>-oqHQ+}C(`8WeQ}EvQ zY4j7hsGD|?ixpV*H^jd3BqW$U-|8VN8-5^cKb@6%h|)~hoyF^z5$rPq)FH`nenLPPC&r;VfQdTSILQ62OAuVxw#cZ)SBj4!Xklhp;EskBF z7zs*yJg4<;NQ@fKNh)i3&`e(L85*P%lo}+bVIlXSP~%fgX9o(kx_$SHJ*EIW#M?Gb z&JWD$Xutd3d&3pw^0m?lF4@RzI!xO&+hAuc629ej6^;009bwnNw8;UJi7eJ}bU^?M zGkspxwbkl6<3*qL-Z|S1jz62p15F4jSXFTGDdbig8-84LDd`>BI?lxu3}`SsEt_El zy`D+YL_0^!!r$5*Dp7LEJA1D!g4)Fp9lI{kD%8jBw53>`Q1>{FSJS4PUmq8rQ5*Fp zp3M^Z@@^g=7I?)1>9h*uzRn`9BWHK#utb_Td77L>7+#&$Fd+*j-R#wM7xH~WW5n%| zNAucR_Eopb7;?MVPP5ST-LZ4E_&UGzUnH!)~f7xlNy58KHqMz__b_P zc>Do1`EPPD)FP7k$1b+yjMw=g)pmjcP|kN_3Y4!_oc=#DQKvFh8^UJQC!-?0(%Wy3N{}GSEAO zht4Rd_#@QXmSB18%$xQQwE9Epwe;52&04~z418RZ7INEjTt$2OIN0}fR(LI9mL_#R zF=}(P>hq)m_sbo{jSQ*l+|K^|i?wezGp}wc4p+E3EyS;HhZVj{m6DhTl-mw?=-?eN zb{1pr=Qdn5`Y9Xd=bS@p3k?vvlvrj1^ZC-Iwjo6haWUjdh4@qg-HTVnV1J6GzU9<@f z;Be%VjA9 z*G8r#0zv^R+%oe|^eKo72EDzd+%*bdSpf)$?lfBT!e2A;Jeh-6}g z@B3YP19(X2Gn)Tgc;dNvCi?#eK==OxFM9e@rHJkdr05u#rlTwRl z&(!_E_!}#9nW?lPpD=AylSD0E`T<-Fz@2tC2Xo!bWfD}uaB8d_uuv@YXns9+(M-W@ zqMIV&5$p+Lp>e0;kxCC_`4pWtY#h`;k2mc z$H1F#i+7g|)FrVQ0lm7~vvR z_9Dm!i{lb@H$dT#fYIfi_)gssDS4}~VV!H%CCk%q37+JrtoGk9lod2onU&A;n#LjF zcsV*0)aE7_8k>X39+seWIDrM7f*cQ)!h1o|sW4}o}zs4I2CFwt}Lz@W=YZ=#n zdd(Tc_Ok39PZ<(tVKPB(=j@2t#9ZdBjfX6|w_@s#dZNT_X%xZpy?RucOO8iJ(NR5e zza6wYGEsb}uNRN=wkm!a9Y1E*;Hv&7q&UL+`u=MD{}oa!l)M{^i<_a!8r$eBE{H8X zn7|LJ*fgX-fh_9&Zar7q=CB@(9g0+VSt|k{I=ijBj)v&Ek!pIJ-^i{#FcZZRyVy^- z8n#L`O~dMT1|>|LA9Q2$D*0NLKd;%%Vj5ZHoy}9KWDj`B#g)J3C$eC*uYcU*#r&HP zMNJ2~`A-t`GEU&FYSlBi;X;R}I*h7IU6t~JOoZHnqvg~x$J~q^_}1Z<#!jL=F#ynemjx#peMPvmzqg8a^|(YJP5@ng`4vfq?r!JPi585B?qC)JD&}xAc;GQGprV=nA)#Gkb z?YGp)E(S+~BUR~QUwWGE^ww5-`wl0&K^g6NM4rR`CoPMwPFUKfw;dfLV+~eLbg+K? z1#=7BSWO5tQ?DQD+cezP%H)v|*?e;e7BJk$(}7pI=2szwG3Mc^ruE@@P1b?TO=+%A^nm(?x7$1B#Av4D?MX^hd!P`9B+ z#NinZhj1n?bBtD3R&eb37o2#Ik5qr1?Ku!#zF5*!xtrgO1^_98+cxsy`UtsCIT?JX z2&D)vV-79$K%@+ssn=jc%x}8kyh`PF<|=~^10o=k$qTpt=>xOCHSV{TVUOFakCXMWOjFnwQGlM?-}l;9mP zT93^?8N)Zw{^t){O`MV@YX)aug>0~XG4$3BPIv{-ZRYc_wD;)<&cj2UF6NPDe>H)L z`5rJzw6X%ihkNq1DJC@G1qv4&^T zlBC(d{$XlX4M+QL1Rkn(y$X}5XC_p}kn4q}figjTAZF+~MPT9R%(}9pFWcF)Zfh1> zJxtI$uyG+S6p^6>P@6wcpMthWdD`T)fnrvhqRB=xA%=WqAk2J0P?Cqmz(0Vheerb; z&qdr(K746=d()3Mp^wBbbfYq--(`+Sx#hEYRARX@OHzF(A>EiorXvg0S(1`6Mc$UB z2BShJ9#ghs!JM9x{YRilAMwl|=08d*nBsq+!UCLl1wC$TKXN)}XP%PKehe+~mxIin z#MC3NfTz0(Jg3E04T8Vq?hu4zIIh6KQehxJBO_-3$77iok8w(vpjC_!7`ol7t9$ z_Ye22B`xo_O@z7}{|Zi>?N|Sm zoSWC^OR@`EoM^}z9TzMn+>&KqCl8AicTk+N=X)ur6c+3I)IiNeBHwtkxDsjxvU##N zEy-9^p+`My7Hz%DNJbKwE^fQxUs_z-Z@ueiT6m8Odhn7%d;$2X%4O%>ymoGEgp~)p z-N*V(?*SE94>$Eb{|ECM2&HWi-r@fFr{75t?*ovtlj70yrl7V8?Q{1)xu*Bym2c2o{~f4`LhTr+kKuRV1D$4K^t5H%)4Vh%N?p`9{XG;O0&|xW zPmBQ~UlbEy(E<)t7V%V1*+~$B?pcbjEft#(J)E98B=`iH+|EC&i7Cg$UUSlUnI%v; zba_ca_pf=VU5%M{-|;c)iI?%z9IwnJnf5OkJStEVQNiz7ACDFnRoOorkR%jtTd1KC z*kg^k_yyk3uP;zEOw3TLae7|^kZ}$$HiYb(Lgb@=?8p2Y--fmR#bHOi zJYHvTIVX%A|I>jY#=&OIpm`%&D5FZxTMr{1f*|5J==H zs#0K$z46SJTIAsUjVayo{s#+#ZeKE@Px!dU_Ag`Fy_Oqt@um(aL|JUe zZl<9}Z#FA6m}grTYXSYB_^2a!@%^MZ0n){Hc3e27>g!JKkUH7omF%zS*=&j}VYMp{ z1>xbIb^qGU7|jAMO6SRsQ>UEMGZWQa;#h7Ldm{s2PMVwJ=(uLbX(Fx8)(yK>wuQR4u?5%IdeMUoy_{`vavtB%q_ z3!nKQkoZ>$^wJ0LfOz<`X=CJ3v&?!8wX?gC2PbPsCNH*a4xe%eZ9~*~oEE8(8!k3=MX%WvSbIQ+jJI4|ZjD*T zrf=Vy2J^9!Fx+i~F=$KJ9%sapmr;dY#%mBa=O5aC3fde)l!#(_dvW1bfkOubbIs?xU$zvV+fDT)BpDmCgz`-N z^3{ds-L7;v0&(Jjoi8!XEEY`fT-I3DuF9a%yErl%>*=sI;P;#BX zGhgK?2+}|9UMNdcgDonh+b~=S5y|?^4@2m%yNv-vo6cvqc8xa1}q?iiNaSRZwf~`aGG*Y*0AZyxqj?a^%EKY0G6HkUqyAQLrw$LR-Vu# zMJrz}Z>(tgnIciwigZ67HS$?U%fr$@=C7)KMs5DgE)71|?=Cik8T+a3wCp_M5_Np0e z`^n%4g$1s=L$o|?RZVu($Va~YSH=selQ{)8Xxiefz9rQRoGtk*lbq(x)uMBP6plXv z+a0kSU;Sn5I-Wa8dEh^3VzCjKYuiQ0Wf?Qfv*4$O-KX7Dt)wA^|97K$CX5r-@K1Rb zk$|HQ{d2-P6Mip$RO#YW8jB^@ihEw(6Zj%{9}K32eoimuvP-$!Z1H4JtUY$p%$E+u zVmxjY9G-}iOQSvJq6Vvfq!9Nnw%7uaS^75oCA`w!!it0yUU{4Z~a>dwR@So>?Ecy#+&W#HWNvf;erCoGUJzkz8t37TaSO9Y!PY#xTf(gmr__ z{!GP5gLnIpG}ZRF`wAux&!wp3!{7`xX3^gJLSh^78@OX_-IN)R3k2?#wFw;y%7(^YU_*DEHVGdI;9H ze=1u*>@oZ%iv-kg195dntcn&nQv;q9^wDu zZUpdhm2nr*qta;}R0(ld7Mrmor+(dz8N25+5_faL$*rug9^LsZH~zR*3h^U-9(xi@ zIS!@`IE0^RpvfB8uIKxtSGmQJ1xaC%Y1oJdMr;5b#Ct=X4t0tD&)f|2O}BUU%kHJ+ zZXubt(##Dff#6PJj*nz4Uo1p&w&UmPOn(|fsXB5Waz&g};%})^fEr?MHJlC8Ni>nl)^PgFx!}2PTEUj-xd^#-B6oz3F5glGs*VjM zlKo|s%b+rz4ZqmWC+jJ_Fr}X8@~Cr(F5iZ`8Z3s{bQv?FdiZKMKWJrR%1)mp6RI7z zhm225lbZB1o#vx_p!T4N@NZgcvb1s+6DW!y!f%9TUEMirB3B<)SHECa%yrX!3m0}w zh+_WdMNBB7zx>HcBtLNw#1m$`k!xWPQ?~|i(5m^qsc3C^=E@`%-@F=O3=#$JQGSAA zbd6@9a_niYHE2x%2zDZQq^5+|t}Ihy=d+oCmBepFpj+`;wrPo^p~i~9OJu0>sAZoD zEpK>xkIhdlrFEum!36-7t>5`T<_AR`UDm6ncw?M4YlKf!lLAD8d%ywqxblh7@_+l> zHaOg#XR-q3PWHC{H@ZnHVP8$!d0}`zw8L9U0)3x;6Io-;vla818+W!!)S@MQSB@(G zk9$Y5Ryu7mxg8_iQv97VirK17kQ|xLa-Q}4sHhqfhYzyj9ZDu)=O6aLFcE2vr#G(& zLlRlgaeTDw@KOYqm!~=G%m$|9ylUj@*+iTvGkpgoiiImD@gWd?&?^LY(I06K_3pd1 zIh>$&>?sygaGm6~nSK;*Vld9VysFi~s@WTq^|zt4Of%7CyOat^w9CFn-wTu};dt2p z(NmnZeBbaw=AJ37)mxAyaSDgpkbY3H-+F(TI>wb@`zZ;C@sW}fZZB3Yp$ zg34VuTMD-ro4rjo7((tPa0Z1mkvPp|af<>POt=h-;^2i)pYKV&*CuWge+f_es--)? zGQ*V4vv?wXnl(@D21DmLh$>c3c1Z&{qNcR;S&~nZi-x*sBi5y1cN`YCJXgQ=pB>*t z!(fj30sW!c(J+e?m^+@50WxECTCg>p!OZuZ#B>67wIbPjR;snO+|lz>%^kIR!;ydL za1;)&Vu?x?G|__Q?m|MK%=_zk`FRxXU4)6)fHS;TkHO0=8rS@Id~9QDp_Mw|m0=x7 zky@pODj8H_L~FV4kHYyA2l2UwqB*2YJ4L>?YfDGTGnib*KDj}!9dF+_Ctf3=ILi8` zQnZ#dFOEi;&_9mI_u`JxHr|GQ)%OS?`EZwyCpPf_c6*o z)U`G1iQ{G%=gk^<8TZwhnbAq=$T#8MwrAz%1@);VGGb&ZyZ}ba8Q)kniDb72kb12;<~{F1)~^0(RdBBI4YjD(Hg0=Xp47 z=A*ZQ7d^@Tq^U`1wu$|@K31*wMq_qw#As87rAohAya)Cx7qp`+M%2nBDFlUI_Tc_u zMBlu<#)9kSV`8gNw&l_YPd$PqvU|w~x8*fhIjowbPP@ zJc4~oDHAb39_DPu-;#$KSdHQ3% zQ)l_xbL%R7`EqQvj0BQO!g_Y+yWQ!hm`N<5a5;z0jz8k0_6m=Fc2A?HqWk%;_N6)? zAp~I+V|f!tzn5g>T1{%eKhDR_^X0d`Me^LSgAkH;_wo<|@vxB?B#IKf6z~ zyyDW`7<-%h;cQt0N=^(yPJG&Pz5ezx#?)S*CyBv2V+*u}qfn8Le!wJP4(huL(mN-B z2ptCu5In)r1qSDd*GXY87dcOVoIoX^7M)nNqOl1UCjUxWJ3EC@n|67?zhuhBjpwLFb zP1&-@dglHzJ=|q?^EXYJuJb&zTdA3NzW=D;6gq1@I9`?FzPTD7`0W@HXnFP=2}qBj zrQEKLu8f*@80*N60V{hYYq@{>_N%lHl)J5ri}vSM@JVxdmAtllpFaAmTkV&B!FCbiC7d|({zc-N)0Nfd!V@xP-6>RnTR3ZD&xlOy}jJ7%&2jbwf{>NINBx73h}Bp zzNI9t!7wl4knaKmN(%?UqxnfMvE<@(@TiJgTyFOFe!A1~$qYFx5H6{KdX1YXoz)Fx zkFLdguT+sctNpqKkHa~_^0);wbw#yi zWv!(5$)T30#b0qkC0}0?4J_X3`I}5ZA@8s|Hg?it*(!?)7cK`>4UGUaVf3eq&5J} z;z>5lo=0NL1sKmP79i1s_zblH!`4yYo49upM$(@a#pz3bE$wfVmdN$A5dU+zb;P3%8!uYqDtU2_nrfaS7iTcI%-D!7jB7)us1<0gW z-+gdJC=)m1u965P)%x(sNQ!J7|BJb?pshOeGC>t4zp#ZD-t#gP07bT-4|M)X_QGVt z4iXFk@u%&yL6672#;B5QDEWnYp8CziFxBo9zIVJ06NNAMc_=ngX%g&jCNDmw8Tt14)FDZHSBSwvHY`wN;S>;R@yv$~Fh2umDc?k=^-e0sM$R_w+G% zZ8l_dQKPY@j=o@hSjQwDp4ymNf*=7ig1_1{(9k3JafO+$yvEWKJWZ6E5Xu_ z;>>4KVfqNSSGGlO>4uLg2Afs=nDxPjQhz^T^xYakNj7Cip7jp5Alx zdjN$Xd@hZ+^xFB4#i_dCFQiGrGKMZJGmp|k^~oYpW_Iu5{c^j*Y0?Jz#b$7ah>|E|&yhKN=leC2=~-7Go=Cjv)*ri7U)Y?0}%mHm8jWFmBEFwUSDF*y=5 zC=c-e9A`lw+UAII{A{m@XI<}^bbSSovVW-^ZwGFCkOU=ZNQb5GLF%jMyz2r9kS7W% zDUHJql^tsg6Xao@$iEuZ3i0{Il`61@k2y5e^MO5~7=zf}JBcO7Vg?RB$?q`q4kwfC z9f)z^7@NJYe~#V(ji}xYdS&R#&UhQnGIg^3K}qdfM%^;K;-%$r%Xkv$)Zs+oe}PJI z$C5gcb5h9$LQrGqvFHYeT!TmLZ7kYmium%p8tCpTBhmNu2kn$CGqaA*dGAjay%u*Z z23t3${})fUa8k4GDqnZo%L2XUUy|=aVTw=Wv%Zy1l`i@!Y@I=f7NYq*-S%jzJH|Y2 zvbo7=ApvcF^3uA*$Rh82U&wB;7QC{Gwa)fwS@+|+gl!hK&*dm*g}#5g90Fvn_otU8 zZtSzDDS;HgKh3VCB7OU1@rb)*PFZA)nfXrjf$hHZ5Gxe$#{^lZ#uWMb&nq>ReJiZo z889ka> zgB;i1hu=dm0#z6vEx%2JV=KyBA^p+l{8)C@ZFztdB&rR&JE!H9{)}ZDH>m}(jnccH z$Kf*DL8KbQ2lgoP6B^vRT6Y68X%~{UV=%jQO6%j@YNU)bLbA4-3K2A1JnPU1-R|E( zBsGgovM8-44^2x>J?B@8xo1rliqbvy36HVyPSLf0H1^R*e3F;%JVINJv-MI=PqBPu zT_hhLG<%x44))CH$NJwnr_|@{J=l*N__DJMd0z*p13%7F)prtZQVSAR83JNNUfbcM zc~};zux)FIB)Vj#q)tM&#bMH6dY(J`p<(v2u6MNH$^lXuqihNNw(l@1 zC!z_9@`2?{Jl=6XNq}xHRj1qktrwDJyvnHE6%D)3sgDMlir@)8>scaD7c+ zeE9+$mU-<;CtKE|1KcI@6WJcN;%wFeU(V4z{#w}3jmsR?WL-ahGiP_%E;@XVtxhsY zvo1X}nUba&G42d-HxLm1WBnugQ#Vox@2VFR{}i*JX--mG*|8Lq2O=60v2-_ZA~CJN z`qe&`M&hLTH9kt#2|dr+C^56j&b7zo5i?)l-u|oWgoG6HS!uQwc0m{<3D0mO0j_|6 zbDbwt`9NaAfcyZc%$HQBP+sYtgoG_0>Ag^i&gFarKGJ8CCw)!Z76C|)SJ13D?#qbu zy$6d4DNPRjye`{Xx?L6V?^C>Gb;i@M%fe!^gZh!DB0t3gbn#CT%*rVCvex*Cg0VPR z6yhNG7E*+MmpdLuW)FJfM%|*nxg+=xLL#x>llSysv6tV)aj?i%U2OJMxS}vi< z^n{|49ry3``IGTl)096+dlL-$i%ZYvfAr=Dg-8S`2J!51+{{Hk!jDC}Q9fo4XP6jJ zpHwx~l$Ig^=hD$CZ^5&IcEPX2oH2Za@z9P`$-lg-1HL-K)<7nesm;_iq@SH0j zr|3WD1AWMzLy7OP3&&@dFl{Z`lHC@&)7 z@x_Kwa0p1o>Ea%orZN20s)dM7@yO%_aLF7ms5j6p97+hkFJN>r4!IjxY&wJ|Ctm9a zdJG?_sYj1ijm4+eLQU^8iqbn~dVov(HB#-FP^*U9ObO6ROWj+$G+1zA)PNCl-LB~?T z_H;gjueqTPBinrz{F>ciV{6ztw3p>^I^Z~jSu^;y_J!g7@ypyC$%I8`% zs5^zp?6wL#Y~T&e`Wt|%dFU+txvg7iA^|OK{0$Gd53$6_n(_+g_raZ_k z&|xkwku9h8mA5kxRVwEiGdDa+iyx?oxMEDDES}`eThGxGn$M$*tuG|D1LTUB!gzPV zWO$(L&Beu}w?Bf?pc(f{2^wo3zMZDPMNQ7OnryF>>LWJqBjboDI=L6Blj%9hOuA5@Nga)x;{ zj#^qcE7!v7;?fC>D9!}Dxpv9r4a>=;RFu0}>_=cO*Q=A4DC^3XD#Y8JsnFuzsY~I& zt0Prp2B8^WuVQPi;wQUJN4n#0$YC{YBV(FrK9kb?N|PP7Bl90nBc^KR1`omO>MDmk zx*Z?14zuB6*(j=pYO>q!*6O$qi;>O#AIjb`tj(_57N*_;g#yKk1uI_Mp_Jh6?pC}= zaA_$}oKjqayA*d)+}$m>1_Cx_5cS8hW^;f!;hJl=O~{d0+3*ERVijy;m){5HE{&YtMEde%ITu)Z z9^bs_tRfX0beYu5UmO`?$;PmFsc4xhF0c}L-h9go0K`?gyBX7n&wb2<%x|J~Z!Oi& zXT&)j(PAHv3OX>RIuMhRW&!{dpbMzGML}=mT?=tKJ<(~K7XQ7y((t&1ug+1saJ&R$ zQd220TnH=)JUfgzilOIG&aLq5=zYD~R;5|}ijmz+pMhhxCuhU|tV6#w+HY7^C+4SX z!?%|V>tbn%E<;9bt{CASXFAc=*g+K$3sC3z#`)xksp3S1fFM~*#=^gXfx;LZ+ViS|@E*bij&z4yzOh30O#(Nbf*2#6AV-J1!5)aGzRS*GS%#@kn(6jpitzB-O>~2HhNl!jd zQ0lH7KQeQ8p4qqL>XIOvIm^2@L`t_j4K{BJX(<1#}FZuxZ+ zvxA88M{4WmS+DC2xK*_aRlfGhJ)AVIyFM8Db zhf(Cufqp`Hzf4~(_Htu6#LmAJ;Kz%X5Ff##`6#xc&M5VJ|KT{wFrPQ}0JD$x`$A%2 z6~u5nGWn@eI3wY$JTLCr49Lw(gMBg}XrTS~F8=%KZ}ULk@t)&6P9|fqiRF*pSWx=7MF7RK z^Lqw@Gl{+uKOLBN1vyNlMh)Ikchw0i7z}Pb5}ztldM(dJ#9mD!Za$q659x0w^yIbN zend$~U#H~ul##W>zV&Q;oCaKvbFNJrA0o(VG9hL#IKV;})_DXKIj^~mo+bdWZ4N*D zn;ZVKN~pZ2Nc1ujV?}ru4QW@_Y<*V`k6VJCj&{wFh!3OF@2)*>D1K;rltpxLo$$o{ ziMaR902khcD{$R;t@}mbHfB%n;#rws8)}`ydPF(cGoyi++-3B$yW1nP% z%0AK2K(rbWfNetr>3y?YZ%~5_^s>92mAjT6u^kMh# z2)>acA5vYDu`iAFG%YSN0jDo&sA{Y>8FJ?n8*yHis9rQGJ$b=xd>mX1c76t&vX}o< zO&D|7y?XkBlBKlXq{1ja zs}~v+G>|p)02##3TaV+BI>^skPQjcT!~~s>ATyQf5dz&m*{C&+4FiR)x{CF#l5glk zt_5=UE}!&QR@FdFM9maVbs*UYP0X9-QEQ|_Tt$Vs!!?mfYwN7Wsg|(?2LXO z#`MtduZjW1PzhC8IBX_rEZ1&{yNURc;Wg3=Wp8XC(Na6_^F`7EW?c~OUX<%}*xHy1EkPxTI`mqNbH(I?RXtOVb;VWmPU|3h&I;fOi8r8mfInc)JBeA zV|&eKWxY%#=k_f^?$PffM547dV=<02zt!Hk18pL6B<5@S@Ak63PZQ5*zL;B2?(If2 zRGbG5hTx>`_K%XMWwEUg*e-O|hbo^uQD51Z^>s7+jBB};sZM?QGV;3vaITJ_DEjVc z1L9&Zb3yxfgFiwvhDi)aPwU$btvL-J8`wKe|!{I$2#bYgXZ%FXk2|g;$Le&M#i7_w@+?seaU6dmZj8(REX@ zvpv=d7uSC&D3%l2V^!OnVX0Gp5Uny;h9O7bD#Xmzp!l=WdSakcrAu*Gp}@F^2~G_Q zvO8+9+pt%I9=DTj(KPw2$sYSk5j6vMw~J4U*0$|B1qNH*yOUo1#HIwf7L#Qf>sj3& z+GWU-w=}W6R3)Hzgv(cyj{4Wf{B`WX#>I7(G&kX?%UaMVqvINHF=vKf&t1F%Jq(aQ zb#piLHz04h+|uri940Xd(rI?xe!&GZuesP0p%0wch~@1?&W&-1wG!HJd~241**^l6 z�zd8w(AbcOD7VtaRr$3i9Hd)@%LmOkeqD)+WnR87Hi=aS8O#_9_+}68xP`{3>F; zIEA*74ob%nLPoivtXChtXN4ZQSxxciSjdxk zz8kI&2de6df9Z}-2mJjUeYO(Y)3<<@$@A6rp{p1YL<)eU$8%`(Gz+`$eXT}8;i*OW zwHE2|=J-l8(i6=0(Cwd+V#6QW+7DH+KIgpu`}d5vnV6WO z#i+mhmXE0ae#Pf_6p=r#K(0Ce{yi@;QU87Y@>8qk;FAPB#{HK5w~AYni{0T>>vu0s zYQE6&Gw0{WaX~>n`He1YtV*JcEG{n{UpQ_OWF5@ya7cMy5IJrh*|Qi=bm~ck4z4Zi z^4t_?(pNuK5t)MAZyr_)5}MPjvMGwVdHr@iMb<;Owz?A)H~~)_l=|gg6BXUq5gmCa zt9^3y+3HNS&=>T&oWq2d?p^AXehK0rL<_XLGB+?@j|#;B#G&hh?MF-*2^vhyQp!Kr z(<&PTzacn>&2(}{kTx8fK_*2twszqqtue_wwI|2=Xk*!^@~_MdF&Oj7k!CBu-yAt` z@vobYnj{psJl5PJjj-5Cwc+NR(AK<+79T4q+2u_qlHI-_$&rr-Aa7o+@s$-7KOp4? zjQ}qf$}7;cbW<}qNc}$ghrNUy?5zp1W^Z;#B~ZqRVr4i8jY`(wso|^3b@-kVl>e6b z>k-?b<{$TR6coH6#lwq8&!^5y?@rhHj~broW1+IEx740A`c~8QFR;rEOb#PzGjzcF z1~$FZ$@7Ewkgw?MmD^-$&8E!MZaTD$r$yiafy$Nl(q0dwa?H}?v8xh2LsiFD= zPVV2jT)mvM99WW~A5TOf$Lf1yE*uQ0uNJJU;Q^>Ly$p;0f{H|Ee}@3&=f~U*(7ZX+ z_IRG2MM^n0djdY>#|Ii+&Wa-OWV8pA4}h~k@hv>DoW)Dm;<^0gx?>F`W-jB$u7~be zH-QIpGzg=svw*cobQ!hgX1nEE49AF|Q+J(Qn?|}m$R{xYuK);IyxLJMF`8pF_Oq*Y z?~l)q>$tM`A35(W0DTPlc41mIYkBI; zloE6O1ZX8(P+xz8V_M>d8Q!WZgYlq>My&&kmYxShYM^(|VXv^*7y$l{y(exr_H*yK z3*>@mv5wUD^Qw}usj92vg9rg2YUqK@R-tNl-fQLb_0|gGc~_s8EvKzXLbuB=*WQ$n z-ZCSr+NZ2p@fd$k6}Of&l`^iE@INwGF2vT94tHSo5u&YsRl6LwSS@T7GE_BmkHwUewU(5 zy_#dJ)xI5&eNp5oq&aVxmEVAQFAZ(7;-cDJ_F;6dJODkG-A62Dp`MRG>7|R7Pwt*&%BB0uYX6->G`~kI4UCz(M$K4;lWtPj} zv0~(G;{Z5LD&F~#r{f_DT&KrFLnxn}&`?xYqF)SA<;T%C_TwFi#aw-_dk=B@XI7w; zy~|?0wlZ%yf`Z?`Nlfkgg(vBmI5+~8*jY&bPD)S?QOAoe?CYG14xwP|KY5-)8p+() zGX@Hd69C0;yWrnf-2SZmLr&kJv^;muv*)Io#vgNc2cD=O5&diRWF!!G5`Z^Ur;mgs zV*Up-R~!mS!%L;G8X1^WcfOzePrw{IyHMf!zGGlm!%Th^PjydL1ky2M)ZgNRk=5qp zwpaK-uAO%*NNRHnH8Sp>CmM-;n9X8xShC@vFRq+hu*}0F^fy7CHAqaIU~Vihs3(Tx zev~-*zCbHa<)!F4f3oF3`b!gAYV)NQ?}^uI0ZO7nh3$`$c&q^3-ND~L20}x@fLh3T z8dp9y3|U<+}3 z%eFG}Q*p{J{ihz$nbAuaXROI&?ODBHM)Y*=@b zzsu(-1c{+X6*z2L%Lwz&6w8r4@hoeg$Bs7|{rM;4DCvO5M&e3!8M{=U+>MXZbK#uw z2qbW{A*0}R{%HPgjr_vVe36Yxf421OEu%N72gpm_A)w zeQQiwT+}^<{m+o2D887amYSu;Q*;Qgf+afH{qk0E?|3 zRPi*v{L@mET+srcCHOlVrrJbS(euc^{FadfwGIBNIAO(yX+Lth%_gQJd_AX}PU_8D z*>-X2_)O={F6KE;+3;yrREPR*{j(pUGD`DOZbuKnVlvoXU=ecq`qr4wtPn9^ew&Jk z(UI8@?Dqd>e-tnRzlk**O`}OueiGfU>f*|i_(3-TuL#f2EO)>&+`xt-`_%sw#@`L6l+Q;+HzW!r~#Rxzpr1e_TiF{Qz5POqq428FDQm9+if zo<9X!O$S+|6_3$tn*3P@-^b>$Tw1huf|@=q;75klsQyf#{#lGr=xiiIDQlxpv5j~x zgWfGL|9tV5*E7xaEAY<-l-|d_Tbe2>JMpUB!9{53%!@ij#Od5kIj%*OP+m8yJnP)p zF)&qUe9kz+{}vV;&%5=`H;og*m2v5fdUN=Wm{61kGzGCh^J-hrlQ9S3qW5a3WL6UB zD10NgN4n06w=a+huE1nW=?6kts*Y<+M*Z|hMa5-O5^6!UW%~>af{JajDztzs<^ySM z8`3TH?pcLSA>%=_&{$qsQtl@ePXf3e8wGM5tParK9RDvhPvAGHGY9-FX6Zq8EGz>P z(-T&aTbZkwIL)oUw+U7Eq_8KVwH@a+V^DP`#MeP7?`QjIZzEb#u*3*bA@@~)cf*GKq`RX>b3f+sWpOQarL+!MkJgRcdx!Ip*>22XW_7iB!5>kZG_qUCfj?KZW1<|56 z5uxO4osyY|^oBKBPfeUCzAFv+y%Z7+vu;-pl4NDMR*%-AZ+l>rg|R? zw8*1uAY4sF^Xa4>17rrI`p7}`X-7m!hppp^*r$xAA3au>N5fAB}$MiP%I==G}g&8*6#q zSmZa=ox1jB(Ll+B22UMLi0I%@3v{GURwd7GLhDXJx`m{^BrA*>kagY#VvCmO&@K0a z`Nj*%7XHFIl5Jz94$V1;I1^PZmf0tRGEKZtm1j4}M*f7D93|w>ilNCxu{AMTYELSd zBqgmu{A}g;hkJLN{KqWpwCsfc4dchV0ES9=x=T#J^Qz*x2(`mXceM4VC9?Mrv9JiO zn+uHn&9j+mu?k?Zo~9wKZ_Dy-qS{r9Ov@2?60r_;s9w6*_$w8T1`kw`%nVgp`d8-N z9?dcvsIyFbPpyMt#v9Z)9|+hk!rQM)>`fbR`q|Zoz`k0PyEa9(lNQ-wbPkI(iLS}F zHGMKaV(5(i%5v2zAUy6dWVWB&n2K{8`g1i!+vfmhqH@jeHjgpF;qXDTPKzh<*oMq) z)-?&tn@Zo1VoNj9ME>Ueq;pIElv(i;_2azSEg;Z%Rrt z|LoA83nz94!V*VrwpmNo{0HwgozHjr198s_lP||=@d5I+l%^; zuBRIYOZGJ4*1}Uh7}eitMAW+Ci!JsJanDKfoGdb^jmLp6@AyDIb8H!HMYvTKTX)^g z`^0!3*{hGf1T$2K4?BLp{%!Yh=^6%v(`H zc|QVEbV1*wOshp-I__a=@ZXN{E`HwcydQ7hD8W4 zj`4vC-Z56%X-X|Jw|?~lp2oHJeiwvEM7~*58Tv>DZGiiE7`Q?!TQZ`ogzap_a6K*{ z_0Kpn(;o>gk(*#7d+khY6xavSYkmv*u7yQGwVk!eV$XdCk+S_DKsNowE$VJqc?Bg9 zixr)<@Mj!xWEDqtr#!6XUbiR>#2Yb+_Th~8Jx?R~TEW}Fk7T^G&mXF|_$JfCpFPyq ze4R`0PfSWofX#6gKY43SKNaJ1vy!X7G^AD#p+J}YOQP$jV>486^IdsN6x)Wc&=I7Rzx2CZyG^>jxnkM24 z!~A0>a+7&K(A~9M&la*8h1Aa|H#vr`+znb#Me8CkSvH` z$*37snhXaju;Y_-Y*2iNSR}F0X~hlr8s^01vRik3=JPG94K^7QHNf!8{Vs0kkvw!l zHIfBPTvn`X zwAgA?TUVMpT4iZvU~`n`eTn)Ds#G-FMjgr~A_%a}zk8(68$=@5ygm@#hlf-2RQ6o& z-EmjQ7vU1{?pRZ-0*uQiwej-lyv`3OQu*dez0*slW6(4=UcqK z@x2Nm)YhH{OR0pg*(KhmmG{#|@2_3wpr1ARU>ib&RPU16`Gwfo3e(niEzb`kPs2wW zM0x_{UN-yhxi4)!mSdTp7}uU6YO0C%=xaS643j3tV&$?Q{AizBelmrLk27Xsj>+kp zps60Wlo@&b$&t{^tt?riKPJ}ScjBf;Vlz@CpHVztKI;l)IT1`r8heU{g0h9@HifF( zHFafHKykTVZ5NK46SqZ+@m#lDYGHec!Jgy0MjZjxi@>_OsHIys%lVZUU^V%xa>qte zgR?78larb}gGoL6PRnwnZ3b?DmAjbBtRtCjo7uHk+aLHKpY8t!@`D+)!h#5*G`<~* zk+k_v;6r&pp3~P(OH7dFoviR`{;)K{)4qil#Gp|P_LJ}n>tX&L=(!fH&wH=OpC(k3 zDKndt*bfEB-#)6FO5%NDz@M0qPe%mo(w;tk4#H;znoe|z=X4f`k%CgZY-g0IgE!o_ zP~zuGJ3D`8ZXA-k;&r^C!RSe7v_IG%Yh{@;(|d0gf=~D&FKV`eBzr=o>SNj_pF23l zVq+01+cOCm3Y(`(hvXt5wKB$XHFg8m#i51Nj~{ayeDk!wf1;!T_cZ+IlwRsOtoVfo zdZ_c*rOIIJGIT+33so3R*|AKrWcSah!!dbuNAA69qxi7%Lo=W$iiF%5KY)>yLj|Yt^IJ5hGhg;>E>y!#mewK_pK5D8vRfpbtyN*xPl)?kvjG32cCM>ys z{Xxr?vy^t}+YlMq%-XRyGyk)AiF?PM@zW2f;>M~z#L{(2DZJoaG|~dPse**ze?7Bc z&?zQAYY!r!v)w)SZg2bo`5=;{)6G1Xl9&rZbt?-lroVscNAL^5boH>^%#@G zl6Oj?RY+APGN_;Y?MZ&k*)l-%crs?2v1@9aqDKcw^x&pn2^pXsh_ndiI109?eA5P# z;sJPzA46(iXJkmfP;nVDDLgSrQ%xng#j$EmRT~heijnUKAjks~6xdq%K)65{VhsHrU+u&ez_Y z&hyJgflkX>bmmg#x8T1%4$IAsoS2`e(29DywTIhtVM^_~I8^VQi#n02nEiOCb6qg& zC(8a85Ts*HXuW?u^rICnkV$1R>D2#BFgPfGVBQ_xwY5rw^P)PB|LmGw=OpoK48hG* ziA##*nIU_>rf2Kg_;9RlEN?0-8T&`*Y$}p?)jPDRW=C~|L+nT&{eM{*V)uRdWFEqOd%%%8`1hS6?j&fAA?xaw0!HA_UA^ECg}ukStX{-&m`Z^rWVj%D=l z!VAxmXqhKJfCPW%TZnZxIJu_|LhAyx-}%w#Px=pg#0}QTm)|y~@gs!U7}d_s8@`;jLsAHzxKa(jh{QO*ED#p}jXbrop$1U+ksu-9oPvfs4 zeDAv=_M`3w()jLD$DqCrptA6HcBFZ1{tqW(Qu9d>nn)t5F$v&0G6x0`u zyBeJrAs4dzE_{An%?F?O+ALRsVN-rW_m#HjCtG{&&?O!}Hr&i%$);k57YLHz@R zmq<+f`ViFxLrLkdFeRdI2rOfYB=aIuQ;InJ#;5gh7HmIQrpw{s7qQ=HZdGPjG~q8~U3SxXP>A>*B><7^p3MswTZqhmXoRiHX9~+S$FD z@0?|9hH3VT9WgPMc6lte?d><22pZaLZ}JmGFkQkq3DKUG+)=8{S#Hg|w9R=Ek8!r= zOrd`Odgq~tM*RD1mUF$BPar)wi^kC_#ZB{KV=d)%0H$quZG-7XTx?UOGvqz7tHVL& zgx41}H}`WgQUb%X_tE2BdRnP_+yb(Nk&QgAN>*t|{vHu!a^#EE(Wh83?s2AH2DwwM z>^7g&_bafD`_d!6o+O{zA+m3nW(QKbbF{A*#?Hiqmsah<4v`rQ%6rZ%nGmQO)I?K- zj|&#!WsV{P6yG0oYo+17HgudpQ7GL5V|ECz;hhTS5>E>NHf~2SFG{iG2U^jPUeqq;fJ1G|iOC8_R9p%Kp5k6Ub+vH<> zO&WE&nhF#D)}mrfJe4-*m1=qE(pW%J0&KW#7QSs>t<%O*cD;_#wxiS7^1=d1G1<;Q z2K}|`UD;&Y&>+KQt9rzhCtGnXTa)IyPgsWq=>v*-Tn+EGm1xiP%n$HyY|~qh?m5rqr6CSOxhYx`(z(pJfmD~+lJaBtJz+tk$=xelnDWgxS2WPW zSoWuZQ4Rc4_UUcU6Z<|WZc>r>mjU+&3Qo2MhSAZz zPp7bOuvkiL)m|C=nkci!xp8e_9ymypFhie}YOJj*nHlkJJEOGqxV=MM*Q)c{^PcDAv5A^01;(`QQ+*ECu1r?FqfZ%7MH2D7gA1Xxa?iU}*@wOS z-l3p$u%(Tk;o}>)b=ueG_?&rkkO?HNtlrAV-m%K%yuinoZ+7YaMQ~uQL@PLpB>h)u zi}Y6D>SZm?@VWrAH#wz6Q z)!;EZ>F*O$`T+C%CY1JO7T~Bu#mO_lwL71}HKuy4Dp?zN=0KzjefoQ;(P|*rSw9aw zRw_s>Zg%xSyu^ZuM(WA=n$U}_sgj-P_Tq4<@+DtKwn8mpgFuR}im#u&mZiaF9k?_8 zi!AiXqiDb=lHP4{m1g)%m+?7!l>@$o{H(^0=Y9N-w9=6YOC|xxL1WDLgr}Bo$cH|T zG%X=(0{dS?7h--VGj+#(Q{zl=azIocZ)SOH!oML9arEbhd!#Eay;}$N6WK!o-crvo zD%tfzLS?z*t_4$~JU#tyPSo}hEpMN#zmL?hF7!H9(%Tqsi5t4wrKL0pOspy!E{wc3 zZW)iCbWD@VYBrc`0$sE=u)W3fk+FWUC46NY5*!jiR9^DPNv4Rl zaO63DYfQXt%=|GM=6|bi2?1*~zlIaEzsn&lHd5mZw?K?1d6`}z$&W}y%D37Dx9l7W zCKv6CJA4ZVmP>2zR`y>)T88;4S5Cn}YCM{}{Hi}G2Y6~M@2~P|VdqtwC!zSk&3?2` zz=<_)jo{9h#Oghv0_^)K?f!68S@Big6CE$+@cSoe4+x^h`Z~pW`LF#GnY-4}L?W2G z)(W)NY*Kl>_gV$ExUfg>j8UEUU&VZh$BUqAsds;eH8Ca9^AJge!xQzUKHkr;a1y<1 zUz8oKJWqV;a-Z|9VXxy&QdlN6`-1Y8%iY@j>#}{lEfp8B3!2OAo=j}~n@c3zwIyP)9BWlF- zViGg(QtE2qOKEptnO#xLOg_4^eFH|hXE;qcH+EI&7i@ssrr$~<7g!-e32}rxxsNqq z@F7v!9VTnCK~v7dtUG~D<#LkTwd|HVxiuD11?dbO9MFz}monfS>Ih@vnzx2FXthSx zIs@imfOx5*eVk336t_&pGVbG*!7j;C)n>cfehuhteXJ06?9iGy4^EU2WPM%{Lvx(p zx9>{?ldQUNR#I+CZ1_K10H-%irPHr4)o+&Cb!5v{u@X_IHumr5Z?EyNcEbCnY<)q7 z9T+cLZ0efr=f?q49g}vqGWm~b$se1gOZ%HhE_fnl(Nb#NkVSw#{un25`%ja)5*F7B26okNVqN?L7bc9LumcxU=Obg4>kLPxwV>?A zjMmP;5@IS*+e;X~L;?~xB-Rr{u64;LYUI{ai259FZ!tSM1Ji{rPt9q|B#EKglbPY- zzjRfrhx=+Q;(Di(1GhhbB7ZZ7Zk|R02@jF-qh+bm)JTD3f$z_EcU92_9;(q}p2b?vz?++gNh zW{q(ybj&6}^VWNu{nIkN%IWM_8?jy!X8b-@ll+d^&0+2l$J|G=#$QoU2w{Prvu0c`qLL);K;IUQLxBoS9mlqg>=w6XB3h(d)x=g z)S|-g=*oA5Crh#J8HaU)dQkk>4%mt_fu9pOuO0Tl`4QJGMaS+hV@JijZxp39P70KR zd)zM87j3dqvuJKU)?~(pKB}xVwlwG;zLEwugjHA?L}5H#?)0qb{!Jp$w;@AC9`5EJ z&aRiA%m{yfPTw)Rh+X;Kp(3}voi={mnNjktV(Eq5LC;|7#+xY1+Y+o5Vv8Drvl3s2 zUOJIXETbaP+$Gta`H|>8saNTP+U4&cCr_yrfXa>kfTk+DN6f*Xc=g$P9q{;>py@nP z|4Ar9SjLBAm3rmouzFB%FvUnXhJz5W8?V&kZH@b$tAki=XfAIc<2St{c*d(zgURxa zQPfm2)PRuEihxncZPE(aqzXF0onIt;rqkl-tI>EPf{F}h0;X_>KG77sVmf8TpyqqU zhO3PJOv!yS^Q$f4T+pvWP}$%bE=K8zdd&$P9l6q(!9@2)rChTG$Nim?!MCmBj%RQS z<{WEY&6>m8bc{UIW4Y=j*eHjh&S+Jc(U0(5)iDZECpZ8; z?n4_CNO|4|sC*wQ&^z3p+^jafAwS_#0^e2dywE9bp1#?aeKck2eROrFJ!-Z?SicS> zmtcSK5S^~JW@DDc!KdS8K~HrFXfCF%s+`_cARis&aP?^F>-4#@0`E*qqLL*gplh>) z5ILR4wu(txHtSL-0Kp3FIVXFeYiutmYYtvRJ)9;{?0U$uNTh``RNPM1=c7&FcpndP z6RcVmK_ZV>9ZH?zCWyEkH>{Og*QcF351*~V&CM^~;YagwTi%y4DrHsA^OrGbx7Gh) zm0y~f!G!K7HG&bI47JDK%Hl*~EfPT%Dzw$k0QuEe!@Y zy{G{y9t*#B9i&bYNqs!@CnZTLFb~_+E$M*nB39b8-5uxpJsy>356`oi&CBU~?-ZV8 zpCPCz;=kcM-e$*k>Tv0kAa!aA4;hvo7@2aCI5t7@y}wjD5TBeZvtlwj>EWFyB^py# zTD~Rb7EUoXw+UoSpWWRzGZ!`5)2W?v$en!D-v?gI5-ZrKn6oX@r3GY$M}s1t%NrVY zu6Wm6+v zD`RTl4A|xu!p^5Z&KEsaSIL%0rCL#PY^DOiKNkB)>nuA@A`Yb?0F|`c8 zn}g_fdg$D{fgxmGDe>`xrpnr{n;y9l`M0yNRXd4Kx4Pu9-vl7D$Biq6`J)*fe4uIJ zatYE0JJ?hbkRwTcg+sz@I!J7=`Xl( z6Kv2>mJ8(B@b(dvA3WE-qkXJ;xh#t*r{Fhvb1Nrl77 z`(~qd1+_siV|5d<`P=pz5TbJbwQ^!2Z)9l0W?Pt*Z?#X(7>dR%&0ve(w_!9V4)GsJ zGS5D~neOp%snR?l>Gldx9Pu z(ajz2El0W4PD@rA|Cph;L0=*7soZ|M)Rqah7_QIb14{2^!8ykz2=3{#ONSi%%4nKE z8(l4xM=4@wet_b3ba<)!q#Of_q7v{y zaztX#0BU#b8$IXm7LwiiD8|*d-l?yD1c8<~CiLsd<`lAH=~WqbfSWA1Mc8Fl=<_=L z^pRZI!~Qvy4&%SWAb>;>f#4`Ntu^e`Ay)J})nK;*&5l=CYp+N@-mC~OS^D8Mexj;| z(eI_ywkukcNb9fo+_3MULwbM^g?pFN`yz5L&OiU_H|HAHSTZtE&{^XlOF2M~3(jU@ z`58?DNOg2*&n(<9%P^uN53KkeF%U3bh+9pCuEgs^Dm?Pi2Xy^w`$WS*a^R1CgBZ6?cea-aAuY~&^E2;<(jUdefI`;IloXS?7`Va`Eg?LNf^FA0Yit!2n1*B<6wj`L@XSlEdCN}R>^&oT(!>A76t9^`O)7YI zzuP(RFh{Rh8UirqK5}yyAV6s>(kzzeO(vogrBpS7!*{-T5**?PCAL~ zI)f+rUw8y+=A%FUEfc$c&$>!NMUlak3;WNV7eb>Wwh{e}^6Fu9M(xnpRrxz!WW9L- zf73DmJGYrGX=bg;ksGB6DE37haMKJ`hDPQI?GAG>ZME2SF5bWYuXS=&H7E0YZKWPu ze`tX6d~L^9nhtjs%W+7IY4*qdl}iT_RQ0o;roOEeTC^|}JfB*zo{!wDi)gyg*Fy-y zm=7RgKKsO{_I7I5%&p%^A)E2rngK|&6@<_ehoa%RmwV~IY#;x^8QZYcOMAn`_A8ba zfbFQ_a}~WWydaeZZn=qs<>3(4iX-m$`L&!(`xqJ@qom;?6Mswl&c21Qoga2&*iVMx zObWst#yzUAlCPQEX%_@|6l+1wQrz5n=LAGFOw8jFbO8002ytX+;{yMJc6qm<)UtX_ zrvJt@@T$`k*{$-St*fWxc8zla<{s6G``ce@G;0x5*)In(k?^&5cc{Wn^|bzBI? zcExF!uJRj+w{+saX`Bq+f3msb%pvr@8b_>sUaHK6cv|SB-C=Jz-tYvtmcE(dk65UN z!11k;16x&Sr;pil z!1;!-ve||WCq8FdYrErBk(-I;Vgfi5!KAHmTMgzgGMM0`-e53*8C!I|B1EN(EO?~D z7X;VkUtY3u(%=q&ZmP$NPIKrPz*B^_LP{MH2;{JrgAaD7Dd0RlEo@F>Z)g))@0T6d zzEg*EfcVFR_IM%DVbqzqoE}khn|W)P%nv?WybvMGUoW^hsy9J*D7wH9Y&BmTI8PJo z39MJOONo=-pifw!2=4;V$30+lrjzrSg3^^*5&LMJdni!yqj>8NbK@b&2BJL00#TO`&cg zZz@G2V_EsTSFmqSr#`}IfcH2T<)YDcH5wkp7@D=25k(n?OLvx~gCsVNY_q@ki~*}T z)g`E_XbIP6w#N5E(*d&-^p6frUxSDOeVh-h5U?}$ERA5|QfF2upV;8i_ZU8omvm0Zj_NP)(i1yop7C-utf{^20E>2#EJ<28PIgh>IwDDC+^4% zcXjQXo7ojmb^vFC9dD{uV^Q?~h;38Ok^jPpp2xIKtywm1o#=VnSnJ7KA^RisyS5>K zo|lhMYnB@2P?jYn|6zWIo<#uLLpDH8Y-s2Y*V7m5da^%m2qW{%!z)3Xr{&L1QsyOr z7yabyNJ%*JTRh2B0s8FA(Ts0#pJpXoxQKM$u~aCyib>BJ2R1D=-Z$h+MK+HM+GtY1 z2ZTv(I>WCU?+rH{L*u5mQepE0*ZQrOCo5R-n-YsMr^#TBmdT~T+KYXdRg=I?JaZJu zu$z4the787i%pO| z>m>L7F}z0ea}{O#&{cJF%i3i8S~lDZMJ!d_QsRmsj{`D8`pkm#%0r(!?lpFcE;#y@ zz%cTQS6d3ZqTbuETjJoR4lIJ#R={_xuX7T)sIF0!VPk+WvR0w+FI5Vvnzq8v?4rVl zRS2aP-cU$1W^duNsF$8GoE>tK)<8;9=`2pNg4H$j}(gs~3k~m?WVq z#vd6X3#2O+JFpL6J2>j8RM3o(oKHf{#bKi@;B|(2%BVjv|VX$O*effQsjK?PLp)oX#ghbcjw7haU2CFM5 zn5--E4QJyw@NURH8$)~XbI+BEiiB>)@I@}0u^Q6UspE3rQ!h~%JWs)EKP_0{>?5M* zRzI2}@O_pjvdv#A^=6!(0j4(PT>kGjul_W?;x&HT$e;Ir%De8brn+VwUPVxlq96i7 zL_S1brS}>M(t8t-W+C)Yq=XJq;4IM|9I9qYwt6A_RKS9&pd%H-jGH`EwSWJSr z7nlM2J;7sQJ#g{dvpu4&_GUv8aW%Z~zV-LFi!@xBhiZ$1W)YE0oudMjh|Rf#b)di2 z#R-VrU=f;2DCA`+)!tVh1Jks>{U{CQVE*PXZAw#QL*B>*P*P#;@jjwtpH1Oo(B~7r zwSN`l9@y_tIE^yeZY-J!zZQl7>Stsl7$X6Wu zpY{kuA~v8&CbqCMS&XN(Y(kuztK}V6TG#h{H~HN0v<<&?AoJ^1B}Jide;^C_!`4+1 zV+Qaen`&E%fHCNH z_9xMCEYE4;N0_^Jz}m!LJ`e6h1T1k5=?LacJ57CB$V5l*VQfo78i|7i*!93KF7XNS zsPpVr^*Jt#T-BEn^qlORrt71W`E#c1G>?;~d9yQ%ZZn4v)Rhx$fSG>gb8oADul`H= z0>Tzb*9#0qOwN6Qs%c;|LmtwO0_Yz z6Cpii1*Kj1dN?+%m*jS2zq5X9eN9+q@ruLt<8FNbFMvQCGWOW{rk^`5ct?6`F*yhr z)q3!SZLt>mJ`2Z&qCZ4WVM1b9$+^LE#Nl;$?s^#-StSm!!vij$mrp+qCUbHoj?32y z=qq{i66~$UDzqDdNj3K(Z@d{^AwG=t?tp0XC>jOG)v&S1EN%q;x@;&-gM;=OBC@e! zae6Y@5(FEA8_&h=H0!zFKp^rn4%h^Bh|CpL1xcN=Q?FwwX~~(ZI4Fx?zkurvM!hRX4OCUaz!~AazI>yO3ja8xr$Y- z!80crk6x;s$JMn6#GHjw689s;8kt*;tHp?mi7zO!B5m)S?AEJ~;P zET6F?YB@Z9I=o7UEM(zH{Xb^G%7pz2J1DG~0l%j%cnq70oRv? zexo5)6mf!HaWbZ%$SrVI%%xMB-|$3;Psy!RST<^Fg|rN0CPqW#az!d)01DyQoy6qL zUC7sZv~yP?a2uLYx5oZ*iGrjk6c@_jXPZ4&Wj=haB{6 zyeL_i9QJ|S8%TV!ci0ZuBJogWLn#Y2&8v~zM<`3}uhcBL&s6^+7ho(&$g=+#@cDj^3i1+@w%*ur##?Jtm9$0Z%mZ8#U zn-dHZ{gT`zPpuGCU5lzeeB~>!@JN%Er`9lH(wE+tCAcgz^H;N**!lO&P8eMW?2&1T z6}gnZZGPx#L=+P&R!`8gDUzwQ=JRdNI%LsE2`Wbqt=i5{RP|08dc};*SCaRgMMJ*% z-PZ+$84eP-DFeK(E#*Qd;XbOITA_Ghr|fxtP2@vle``JohVIM(p>%0__k)R$&DE7 z-7a7c-)rLrzm9KPrw=(q8~7;l)5jC0qy_8dlqPLezEI^ENT%M*RzAmNP*$qN>hTVe zK{rrJXqvlckuehrqRgG5<*{l8==@ZBOHM@SfDj!=a#h6(O zmBPHNm(Uyq{-H^8kIXbO^H}}2BcrhT(pvTGCx&zofAt;ECJc;SbChXYzRUg;q!gbe z)`+oQeYc;6%;T$7L0T}l%kO=;WVu<8x9o6n^Od9hOTdI&&Yccg4uhCES01q>*tro& z-rao)?Cor#E*CCe22NBz>js!B%HpnCd9wbu95skzeu^T%HH(en3`FllmLHy zw`7Y0&nJxC@Q}>2Dia@xrVh$BQy0$-oiiF1O6sJ#ymw4f9@B!taq^zaQKjBKf^8=1 zXv&n1_m&7{yhl&kn!diNI(DA(4p7NtGr_xm^nwNi{%Y+1Ok!yvFWoeqI|@)^qcC<=6!ZM2C zJy|)wSx!lS+f8@29E*Q_PGz2;-k%wk{_5{K4r!ZV7I9WP8H$m!pyjcmfmnM?eK%HQ zOR@<M5%|>aGeSC8nzyJnYDQ{6r_aoESG}G z*R?~gr@;e!mQjq^sWp55%GhCw6npPb+`qrN**KroFU&w%=;nZLSqxo&Rx70 zN{iYXw|=|wZqUWu*tcY#>nchy>hKT*qUO!FSimbvC)<3%(bm5U=xx$7L33 zYFm>1eIduJ9tjO7`t7}CX)w0R-^kNC zu|~BwFzSPI2x0d(VucUW-2{yTGGXI8!6^?-otGZYBBDL6;iA39;rNX?9+^%P-+7HF zN3XRq&-KcDT}i(D?abLw5$-o4zsX>)3jNt4W9Pd!KgDx01HK0|&(Q;Ap_*rGNnA&w z{8l8g<_^KKcb^wmOy8Jt0unZ?rf);sP;pYNzl79BlPTmok! zLMJj!gPUb_l^^j?&rq~%brUKCUeq;Iu{pr{@H-iiOe6qFxJw;ZJpNxX%o;wlxmzkG zUi~Q3)bt&2F$E0ic#RKYY(I}=FqTM$E9{INI)9dg+Qqt%#^_M+fy9(|{WXk|TDy&y zgw&>MDGx9zOs4_^ffUTT5}uWQ#i7Xx2>0%ZXi|PbR)_RmBkOg|TT99i_w+xs4b$Sc zT3zqYCwRuM&RvRqfGHF)K7+&a79nxZ%A+hX35WG2X$gk-m-e+zTsO<3rdDpm*GS5-24y#Bhv zJ*Dn6vd7AT5s#~CAbQ%)2Wam2k@cHkZ=KwL6sOox@j};Cw>ptSkWB>mED^k&B2;Cr z`Ku<8tbnEdRw9drAUJ_|)g7Lr~{ zmg4wok=~USuWq@7UuI#oiTJ~1Fwbq~VPU1|a*38vTA*M^pi=PgowJo=qxkCg5)@~Y z(*|{!R_E~Eq!&K^o^3dS=MN#0-@X4dc1)UV$b(1!Y41j9XSI#WP-jh_0L{lNq@VW7 o0fyWCf7n;%FPxpX|9_88s2QUz;T-yim{WOZs_H$cggy@cFPhEOxBvhE literal 0 HcmV?d00001 diff --git a/spi_driver.vhd b/spi_driver.vhd index 582de95..227c605 100644 --- a/spi_driver.vhd +++ b/spi_driver.vhd @@ -1,31 +1,15 @@ ---------------------------------------------------------------------------------- --- Company: --- Engineer: --- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 -- Create Date: 12:51:31 05/17/2016 --- Design Name: --- Module Name: spi_driver - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ---------------------------------------------------------------------------------- + library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --- Uncomment the following library declaration if instantiating --- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - entity spi_driver is Generic (clk_freq: natural:= 50000000; adc_res: natural:=12); diff --git a/spi_driver_tb.vhd b/spi_driver_tb.vhd index a8c72b3..69306c9 100644 --- a/spi_driver_tb.vhd +++ b/spi_driver_tb.vhd @@ -1,35 +1,13 @@ --------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 15:38:41 05/17/2016 --- Design Name: --- Module Name: /home/timo/vhdl-yasg/spi_driver_tb.vhd --- Project Name: yasg --- Target Device: --- Tool versions: --- Description: --- --- VHDL Test Bench Created by ISE for module: spi_driver --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- --- Notes: --- This testbench has been automatically generated using types std_logic and --- std_logic_vector for the ports of the unit under test. Xilinx recommends --- that these types always be used for the top-level I/O of a design in order --- to guarantee that the testbench will bind correctly to the post-implementation --- simulation model. --------------------------------------------------------------------------------- +---------------------------------------------------------------------------------- +-- Project: YASG (Yet another signal generator) +-- Project Page: https://github.com/id101010/vhdl-yasg/ +-- Authors: Aaron Schmocker & Timo Lang +-- License: GPL v3 +-- Create Date: 15:38:41 05/17/2016 +---------------------------------------------------------------------------------- + LIBRARY ieee; USE ieee.std_logic_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY spi_driver_tb IS